0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Vivado中綜合實(shí)現(xiàn)和出bit文件步驟教程

Hx ? 作者:工程師陳翠 ? 2018-07-05 01:21 ? 次閱讀

本文詳解綜合實(shí)現(xiàn)和出bit文件。各Block都搭建完成后,選中這個(gè)bd右鍵,Generate Output Products主要是把IP參數(shù)和連接信息update到project中,同時(shí)也會(huì)檢查錯(cuò)誤。(一般synth也會(huì)更新,但是有目標(biāo)的update下更放心)

沒(méi)有錯(cuò)誤的話,再右鍵Create HDL Wrapper,給整個(gè)bd加個(gè)hdl的殼。(因?yàn)榫C合工具不處理原理圖bd,所以再次倒騰回hdl的code模式)

Vivado中綜合實(shí)現(xiàn)和出bit文件步驟教程

我的project會(huì)報(bào)這個(gè)警告。是BRAM的連接端口不匹配,我自己的IP中BRAM類(lèi)型是OTHER,可以自己雙擊BRAM_Port端口把MasterType類(lèi)型改成BRAM_CTRL,就不報(bào)warning了。

Vivado中綜合實(shí)現(xiàn)和出bit文件步驟教程

先Run Synthesis,在Run Implementation,最終Generate Bitstream。建議一步一步來(lái),工程大,電腦配置又不頂尖的話,耗時(shí)會(huì)非常長(zhǎng)。一步步來(lái),逐步把錯(cuò)誤消了。

Vivado中綜合實(shí)現(xiàn)和出bit文件步驟教程

上面的Simulation和Debug是兩個(gè)比較重要的調(diào)試環(huán)節(jié),后面章節(jié)單獨(dú)介紹。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • bit
    bit
    +關(guān)注

    關(guān)注

    0

    文章

    47

    瀏覽量

    31956
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    799

    瀏覽量

    66137
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    探索Vivado HLS設(shè)計(jì)流,Vivado HLS高層次綜合設(shè)計(jì)

    文件來(lái)與所得結(jié)果進(jìn)行對(duì)比驗(yàn)證。 3.實(shí)驗(yàn)步驟 3.1.在Vivado HLS GUI界面創(chuàng)建項(xiàng)目 3.1.1.啟動(dòng)Vivado HLS 2
    的頭像 發(fā)表于 12-21 16:27 ?3514次閱讀

    如何在Vitis把設(shè)置信息傳遞到底層的Vivado

    在Vitis完成這個(gè)過(guò)程的底層,實(shí)際調(diào)用的是Vivado。Vitis會(huì)指定默認(rèn)的Vivado策略來(lái)執(zhí)行綜合實(shí)現(xiàn)步驟。當(dāng)默認(rèn)的
    發(fā)表于 08-02 08:03 ?1269次閱讀
    如何在Vitis<b class='flag-5'>中</b>把設(shè)置信息傳遞到底層的<b class='flag-5'>Vivado</b>

    ise燒錄文件到板子步驟

    OK確認(rèn) 3.3 彈出如下窗口,該窗口用途:選擇創(chuàng)建PROM File的.bit文件。其中.bit文件綜合、
    發(fā)表于 01-24 14:04

    關(guān)于Vivado生成的.ll和.bit文件問(wèn)題

    vivado可以生成的.ll內(nèi)容看不太懂,例如 Bit lines have the following form:; Bit 51598948 0x0042011f68 Block
    發(fā)表于 11-19 20:41

    xilinx EDF已經(jīng)綜合過(guò)的網(wǎng)表文件怎樣添加到Vivado工程?

    xilinx EDF已經(jīng)綜合過(guò)的網(wǎng)表文件怎樣添加到Vivado工程?買(mǎi)了一個(gè)第三方的IP,給出了端口列表和核心模塊發(fā)射機(jī)的.edf已經(jīng)綜合
    發(fā)表于 09-07 11:34

    Vivado綜合實(shí)現(xiàn),編程和調(diào)試工程可能會(huì)出現(xiàn)的問(wèn)題及解決方案

    ,列出一些常見(jiàn)的Vivado使用過(guò)程中出現(xiàn)的問(wèn)題,供大家參考。在Vivado使用過(guò)程 出現(xiàn)的問(wèn)題,主要會(huì)分為以下幾類(lèi):與Vivado軟件本身相關(guān)的問(wèn)題
    發(fā)表于 07-31 09:09

    使用Vivado 2016.3IBERT調(diào)試的好處及步驟

    了解使用Vivado 2016.3引入的系統(tǒng)內(nèi)IBERT進(jìn)行調(diào)試的好處,以及將其添加到設(shè)計(jì)中所需的步驟。
    的頭像 發(fā)表于 11-20 06:43 ?5690次閱讀

    設(shè)置Vivado壓縮BIT文件的兩種方法

    在調(diào)試Vivado 過(guò)程,由于生成的BIT文件過(guò)大,而我使用的FLASH又是32MBIT的,出現(xiàn)了FLASH過(guò)小,無(wú)法燒錄的情況。
    發(fā)表于 12-22 14:21 ?9182次閱讀

    Vivado的安裝生成bit文件及燒錄FPGA的簡(jiǎn)要流程教程免費(fèi)下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是Vivado的安裝生成bit文件及燒錄FPGA的簡(jiǎn)要流程教程免費(fèi)下載。
    發(fā)表于 06-18 08:00 ?25次下載

    Vivado綜合引擎的增量綜合流程

    Vivado 2019.1 版本開(kāi)始,Vivado 綜合引擎就已經(jīng)可以支持增量流程了。這使用戶能夠在設(shè)計(jì)變化較小時(shí)減少總的綜合運(yùn)行時(shí)間。
    發(fā)表于 07-21 11:02 ?1602次閱讀

    Vivado下載程序步驟和ISE差異問(wèn)題

    由于Vivado下載程序步驟和ISE有較大差異,特此寫(xiě)此文章,希望對(duì)大家有所幫助。 1,下載文件生成 在.bit文件生成后,在TCL
    的頭像 發(fā)表于 01-02 10:45 ?7035次閱讀
    <b class='flag-5'>Vivado</b>下載程序<b class='flag-5'>步驟</b>和ISE差異問(wèn)題

    Vivado生成bit流失敗,怎么解決?

    使用Vivado Runs基礎(chǔ)結(jié)構(gòu)時(shí)(例如,launch_runs Tcl命令),請(qǐng)將此命令添加到.tcl文件,并將該文件作為執(zhí)行運(yùn)行的write_bitstream步驟的預(yù)鉤添加
    發(fā)表于 02-20 06:02 ?9次下載
    <b class='flag-5'>Vivado</b>生成<b class='flag-5'>bit</b>流失敗,怎么解決?

    Vivado電路結(jié)構(gòu)的網(wǎng)表描述

    我們都知道FPGA的實(shí)現(xiàn)過(guò)程分為2步:分析綜合與布局布線后就可以產(chǎn)生目標(biāo)文件,這兩個(gè)步驟中間有個(gè)非常重要的文件,那就是-網(wǎng)表。 下圖是
    的頭像 發(fā)表于 05-14 10:46 ?4315次閱讀
    <b class='flag-5'>Vivado</b><b class='flag-5'>中</b>電路結(jié)構(gòu)的網(wǎng)表描述

    如何基于Vitis把設(shè)置信息傳遞到底層的Vivado

    XCLBIN 在Vitis完成這個(gè)過(guò)程的底層,實(shí)際調(diào)用的是Vivado。Vitis會(huì)指定默認(rèn)的Vivado策略來(lái)執(zhí)行綜合實(shí)現(xiàn)步驟。當(dāng)默認(rèn)
    的頭像 發(fā)表于 07-28 10:12 ?1995次閱讀
    如何基于Vitis<b class='flag-5'>中</b>把設(shè)置信息傳遞到底層的<b class='flag-5'>Vivado</b>

    Vivado布線和生成bit參數(shù)設(shè)置

    本文主要介紹Vivado布線參數(shù)設(shè)置,基本設(shè)置方式和vivado綜合參數(shù)設(shè)置基本一致,將詳細(xì)說(shuō)明如何設(shè)置布線參數(shù)以優(yōu)化FPGA設(shè)計(jì)的性能,以及如何設(shè)置Vivado壓縮
    的頭像 發(fā)表于 05-16 16:40 ?4256次閱讀
    <b class='flag-5'>Vivado</b>布線和生成<b class='flag-5'>bit</b>參數(shù)設(shè)置