0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

資深工程師分享學習fpga的一些經(jīng)驗(十五條fpga設(shè)計鐵律)

m3eY_edn_china ? 來源:互聯(lián)網(wǎng) ? 2017-11-10 15:35 ? 次閱讀

從大學時代第一次接觸FPGA至今已有10多年的時間,至今記得當初第一次在EDA實驗平臺上完成數(shù)字秒表、搶答器、密碼鎖等實驗時那個興奮勁。當時由于沒有接觸到HDL硬件描述語言,設(shè)計都是在MAX+plus II原理圖環(huán)境下用74系列邏輯器件搭建起來的。后來讀研究生,工作陸陸續(xù)續(xù)也用過Quartus II、FoundaTIon、ISE、Libero,并且學習了verilogHDL語言,學習的過程中也慢慢體會到verilog的妙用,原來一小段語言就能完成復(fù)雜的原理圖設(shè)計,而且語言的移植性可操作性比原理圖設(shè)計強很多。

在學習一門技術(shù)之前我們往往從它的編程語言入手,比如學習單片機時,我們往往從匯編或者C語言入門。所以不少開始接觸FPGA的開發(fā)人員,往往是從VHDL或者Verilog開始入手學習的。但我個人認為,若能先結(jié)合《數(shù)字電路基礎(chǔ)》系統(tǒng)學習各種74系列邏輯電路,深刻理解邏輯功能,對于學習HDL語言大有裨益,往往會起到事半功倍的效果。

當然,任何編程語言的學習都不是一朝一夕的事,經(jīng)驗技巧的積累都是在點滴中完成,F(xiàn)PGA設(shè)計也無例外。下面就以我的切身體會,談?wù)凢PGA設(shè)計的經(jīng)驗技巧。

我們先談一下FPGA基本知識:

1.硬件設(shè)計基本原則

FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。它是作為專用集成電路領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點。

速度與面積平衡和互換原則:

  • 一個設(shè)計如果時序余量較大,所能跑的頻率遠高于設(shè)計要求,能可以通過模塊復(fù)用來減少整個設(shè)計消耗的芯片面積,這就是用速度優(yōu)勢換面積的節(jié)約;

    反之,如果一個設(shè)計的時序要求很高,普通方法達不到設(shè)計頻率,那么可以通過數(shù)據(jù)流串并轉(zhuǎn)換,并行復(fù)制多個操作模塊,對整個設(shè)計采用“乒乓操作”和“串并轉(zhuǎn)換”的思想進行處理,在芯片輸出模塊處再對數(shù)據(jù)進行“并串轉(zhuǎn)換”。從而實現(xiàn)了用面積復(fù)制換取速度的提高。

  • 硬件原則:理解HDL本質(zhì)。

  • 系統(tǒng)原則:整體把握。

  • 同步設(shè)計原則:設(shè)計時序穩(wěn)定的基本原則。

2.Verilog作為一種HDL語言,對系統(tǒng)行為的建模方式是分層次的

比較重要的層次有系統(tǒng)級、算法級、寄存器傳輸級、邏輯級、門級、電路開關(guān)級。

3.實際工作中,除了描述仿真測試激勵時使用for循環(huán)語句外,極少在RTL級編碼中使用for循環(huán)

這是因為for循環(huán)會被綜合器展開為所有變量情況的執(zhí)行語句,每個變量獨立占用寄存器資源,不能有效的復(fù)用硬件邏輯資源,造成巨大的浪費。一般常用case語句代替。

4. if…else…和case在嵌套描述時是有很大區(qū)別的

if…else…是有優(yōu)先級的,一般來說,第一個if的優(yōu)先級最高,最后一個else的優(yōu)先級最低。而case語句是平行語句,它是沒有優(yōu)先級的,而建立優(yōu)先級結(jié)構(gòu)需要耗費大量的邏輯資源,所以能用case的地方就不要用if…else…語句。

補充:1.也可以用if…; if…; if…;描述不帶優(yōu)先級的“平行”語句。

5.FPGA一般觸發(fā)器資源比較豐富,而CPLD組合邏輯資源更豐富

6.FPGA和CPLD的組成

FPGA基本有可編程I/O單元、基本可編程邏輯單元、嵌入式塊RAM、豐富的布線資源、底層嵌入功能單元和內(nèi)嵌專用硬核等6部分組成。

CPLD的結(jié)構(gòu)相對比較簡單,主要由可編程I/O單元、基本邏輯單元、布線池和其他輔助功能模塊組成。

7.Block RAM

3種塊RAM結(jié)構(gòu),M512 RAM(512bit)、M4K RAM(4Kbit)、M-RAM(64Kbit)。

  • M512 RAM:適合做一些小的Buffer、FIFO、DPRAM、SPRAM、ROM等;

  • M4K RAM: 適用于一般的需求;

  • M-RAM: 適合做大塊數(shù)據(jù)的緩沖區(qū)。

Xlinx 和 LatTIce FPGA的LUT可以靈活配置成小的RAM、ROM、FIFO等存儲結(jié)構(gòu),這種技術(shù)被稱為分布式RAM。

補充:但是在一般的設(shè)計中,不提倡用FPGA/CPLD的片內(nèi)資源配置成大量的存儲器,這是處于成本的考慮。所以盡量采用外接存儲器。

8.善用芯片內(nèi)部的PLL或DLL資源完成時鐘的分頻、倍頻率、移相等操作

不僅簡化了設(shè)計,并且能有效地提高系統(tǒng)的精度和工作穩(wěn)定性。

9.異步電路和同步時序電路的區(qū)別

異步電路:

  • 電路核心邏輯有用組合電路實現(xiàn);

  • 異步時序電路的最大缺點是容易產(chǎn)生毛刺;

  • 不利于器件移植;

  • 不利于靜態(tài)時序分析(STA)、驗證設(shè)計時序性能。

同步時序電路:

  • 電路核心邏輯是用各種觸發(fā)器實現(xiàn);

  • 電路主要信號、輸出信號等都是在某個時鐘沿驅(qū)動觸發(fā)器產(chǎn)生的;

  • 同步時序電路可以很好的避免毛刺;

  • 利于器件移植;

  • 利于靜態(tài)時序分析(STA)、驗證設(shè)計時序性能。

10.同步設(shè)計中,穩(wěn)定可靠的數(shù)據(jù)采樣必須遵從以下兩個基本原則:

(1)在有效時鐘沿到達前,數(shù)據(jù)輸入至少已經(jīng)穩(wěn)定了采樣寄存器的Setup時間之久,這條原則簡稱滿足Setup時間原則;

(2)在有效時鐘沿到達后,數(shù)據(jù)輸入至少還將穩(wěn)定保持采樣寄存器的Hold時鐘之久,這條原則簡稱滿足Hold時間原則。

11.同步時序設(shè)計注意事項

  • 異步時鐘域的數(shù)據(jù)轉(zhuǎn)換。

  • 組合邏輯電路的設(shè)計方法。

  • 同步時序電路的時鐘設(shè)計。

同步時序電路的延遲。同步時序電路的延遲最常用的設(shè)計方法是用分頻或者倍頻的時鐘或者同步計數(shù)器完成所需的延遲,對比較大的和特殊定時要求的延時,一般用高速時鐘產(chǎn)生一個計數(shù)器,根據(jù)計數(shù)產(chǎn)生延遲;對于比較小的延遲,可以用D觸發(fā)器打一下,這樣不僅可以使信號延時了一個時鐘周期,而且完成了信號與時鐘的初次同步。在輸入信號采樣和增加時序約束余量中使用。

另外,還有用行為級方法描述延遲,如“#5 a《=4’0101;”這種常用于仿真測試激勵,但是在電路綜合時會被忽略,并不能起到延遲作用。

Verilog 定義的reg型,不一定綜合成寄存器。在Verilog代碼中最常用的兩種數(shù)據(jù)類型是wire和reg型,一般來說,wire型指定的數(shù)據(jù)和網(wǎng)線通過組合邏輯實現(xiàn),而reg型指定的數(shù)據(jù)不一定就是用寄存器實現(xiàn)。

12.常用設(shè)計思想與技巧

(1)乒乓操作;

(2)串并轉(zhuǎn)換;

(3)流水線操作;

(4)異步時鐘域數(shù)據(jù)同步。是指如何在兩個時鐘不同步的數(shù)據(jù)域之間可靠地進行數(shù)據(jù)交換的問題。數(shù)據(jù)時鐘域不同步主要有兩種情況:

①兩個域的時鐘頻率相同,但是相差不固定,或者相差固定但是不可測,簡稱為同頻異相問題。

②兩個時鐘頻率根本不同,簡稱異頻問題。

兩種不推薦的異步時鐘域操作方法:一種是通過增加Buffer或者其他門延時來調(diào)整采樣;另一種是盲目使用時鐘正負沿調(diào)整數(shù)據(jù)采樣。

13.模塊劃分基本原則

(1)對每個同步時序設(shè)計的子模塊的輸出使用寄存器(用寄存器分割同步時序模塊原則)。

(2)將相關(guān)邏輯和可以復(fù)用的邏輯劃分在同一模塊內(nèi)(呼應(yīng)系統(tǒng)原則)。

(3)將不同優(yōu)化目標的邏輯分開。

(4)將送約束的邏輯歸到同一模塊。

(5)將存儲邏輯獨立劃分成模塊。

(6)合適的模塊規(guī)模。

(7)頂層模塊最好不進行邏輯設(shè)計。

14.組合邏輯的注意事項

(1)避免組合邏輯反饋環(huán)路(容易毛刺、振蕩、時序違規(guī)等)。

解決:A.牢記任何反饋回路必須包含寄存器;B.檢查綜合、實現(xiàn)報告的warning信息,發(fā)現(xiàn)反饋回路(combinaTIonal loops)后進行相應(yīng)修改。

(2)替換延遲鏈。

解決:用倍頻、分頻或者同步計數(shù)器完成。

(3)替換異步脈沖產(chǎn)生單元(毛刺生成器)。

解決:用同步時序設(shè)計脈沖電路。

(4)慎用鎖存器。

解決方式:

A、使用完備的if…else語句;

B、檢查設(shè)計中是否含有組合邏輯反饋環(huán)路;

C、對每個輸入條件,設(shè)計輸出操作,對case語句設(shè)置default 操作。特別是在狀態(tài)機設(shè)計中,最好有一個default的狀態(tài)轉(zhuǎn)移,而且每個狀態(tài)最好也有一個default的操作。

D、如果使用case語句時,特別是在設(shè)計狀態(tài)機時,盡量附加綜合約束屬性,綜合為完全條件case語句。

小技巧:仔細檢查綜合器的綜合報告,目前大多數(shù)的綜合器對所綜合出的latch都會報“warning”,通過綜合報告可以較為方便地找出無意中生成的latch。

15.時鐘設(shè)計的注意事項

同步時序電路推薦的時鐘設(shè)計方法:時鐘經(jīng)全局時鐘輸入引腳輸入,通過FPGA內(nèi)部專用的PLL或DLL進行分頻/倍頻、移相等調(diào)整與運算,然后經(jīng)FPGA內(nèi)部全局時鐘布線資源驅(qū)動到達芯片內(nèi)所有寄存器和其他模塊的時鐘輸入端。

FPGA設(shè)計者的5項基本功:仿真、綜合、時序分析、調(diào)試、驗證。

對于FPGA設(shè)計者來說,練好這5項基本功,與用好相應(yīng)的EDA工具是同一過程,對應(yīng)關(guān)系如下:

1. 仿真:Modelsim, Quartus II(Simulator Tool)

2. 綜合:Quartus II (Compiler Tool, RTL Viewer, Technology Map Viewer, Chip Planner)

3. 時序:Quartus II (TImeQuest Timing Analyzer, Technology Map Viewer, Chip Planner)

4. 調(diào)試:Quartus II (SignalTap II Logic Analyzer, Virtual JTAG, Assignment Editor)

5. 驗證:Modelsim, Quartus II(Test Bench Template Writer)

掌握HDL語言雖然不是FPGA設(shè)計的全部,但是HDL語言對FPGA設(shè)計的影響貫穿于整個FPGA設(shè)計流程中,與FPGA設(shè)計的5項基本功是相輔相成的。

對于FPGA設(shè)計者來說,用好“HDL語言的可綜合子集”可以完成FPGA設(shè)計50%的工作——設(shè)計編碼。

練好仿真、綜合、時序分析這3項基本功,對于學習“HDL語言的可綜合子集”有如下幫助:

  • 通過仿真,可以觀察HDL語言在FPGA中的邏輯行為。

  • 通過綜合,可以觀察HDL語言在FPGA中的物理實現(xiàn)形式。

  • 通過時序分析,可以分析HDL語言在FPGA中的物理實現(xiàn)特性。

對于FPGA設(shè)計者來說,用好“HDL語言的驗證子集”,可以完成FPGA設(shè)計另外50%的工作——調(diào)試驗證。

1. 搭建驗證環(huán)境,通過仿真的手段可以檢驗FPGA設(shè)計的正確性。

2. 全面的仿真驗證可以減少FPGA硬件調(diào)試的工作量。

3. 把硬件調(diào)試與仿真驗證方法結(jié)合起來,用調(diào)試解決仿真未驗證的問題,用仿真保證已經(jīng)解決的問題不在調(diào)試中再現(xiàn),可以建立一個回歸驗證流程,有助于FPGA設(shè)計項目的維護。

FPGA 設(shè)計者的這5項基本功不是孤立的,必須結(jié)合使用,才能完成一個完整的FPGA設(shè)計流程。反過來說,通過完成一個完整的設(shè)計流程,才能最有效地練習這5項基本功。對這5項基本功有了初步認識,就可以逐個深入學習一些,然后把學到的知識再次用于完整的設(shè)計流程。如此反復(fù),就可以逐步提高設(shè)計水平。采用這樣的循序漸進、螺旋式上升的方法,只要通過培訓(xùn)入了門,就可以自學自練,自我提高。

市面上出售的有關(guān)FPGA設(shè)計的書籍為了保證結(jié)構(gòu)的完整性,對 FPGA設(shè)計的每一個方面分開介紹,每一方面雖然深入,但是由于缺少其他相關(guān)方面的支持,讀者很難付諸實踐,只有通讀完全書才能對FPGA設(shè)計獲得一個整體的認識。這樣的書籍,作為工程培訓(xùn)指導(dǎo)書不行,可以作為某一個方面進階的參考書。

對于新入職的員工來說,他們往往對FPGA的整體設(shè)計流程有了初步認識,5項基本功的某幾個方面可能很扎實。但是由于某個或某幾個方面能力的欠缺,限制了他們獨自完成整個設(shè)計流程的能力。入職培訓(xùn)的目的就是幫助他們掌握整體設(shè)計流程,培養(yǎng)自我獲取信息的能力,通過幾個設(shè)計流程來回的訓(xùn)練,形成自我促進、自我發(fā)展的良性循環(huán)。在這一過程中,隨著對工作涉及的知識的廣度和深度的認識逐步清晰,新員工的自信心也會逐步增強,對個人的發(fā)展方向也會逐步明確,才能積極主動地參與到工程項目中來。

最后總結(jié)幾點:

1)看代碼,建模型

只有在腦海中建立了一個個邏輯模型,理解FPGA內(nèi)部邏輯結(jié)構(gòu)實現(xiàn)的基礎(chǔ),才能明白為什么寫Verilog和寫C整體思路是不一樣的,才能理解順序執(zhí)行語言和并行執(zhí)行語言的設(shè)計方法上的差異。在看到一段簡單程序的時候應(yīng)該想到是什么樣的功能電路。

2)用數(shù)學思維來簡化設(shè)計邏輯

學習FPGA不僅邏輯思維很重要,好的數(shù)學思維也能讓你的設(shè)計化繁為簡,所以啊,那些看見高數(shù)就頭疼的童鞋需要重視一下這門課哦。舉個簡單的例子,比如有兩個32bit的數(shù)據(jù)X[31:0]與Y[31:0]相乘。當然,無論Altera還是Xilinx都有現(xiàn)成的乘法器IP核可以調(diào)用,這也是最簡單的方法,但是兩個32bit的乘法器將耗費大量的資源。那么有沒有節(jié)省資源,又不太復(fù)雜的方式來實現(xiàn)呢?我們可以稍做修改:

將X[31:0]拆成兩部分X1[15:0]和X2[15:0],令X1[15:0]=X[31:16],X2[15:0]=X[15:0],則X1左移16位后與X2相加可以得到X;同樣將Y[31:0]拆成兩部分Y1[15:0]和Y2[15:0],令 Y1[15:0]=Y[31:16],Y2[15:0]=Y[15:0],則Y1左移16位后與Y2相加可以得到Y(jié);則X與Y的相乘可以轉(zhuǎn)化為X1和X2 分別與Y1和Y2相乘,這樣一個32bit*32bit的乘法運算轉(zhuǎn)換成了四個16bit*16bit的乘法運算和三個32bit的加法運算。轉(zhuǎn)換后的占用資源將會減少很多,有興趣的童鞋,不妨綜合一下看看,看看兩者差多少。

3)時鐘與觸發(fā)器的關(guān)系

“時鐘是時序電路的控制者” 這句話太經(jīng)典了,可以說是FPGA設(shè)計的圣言。FPGA的設(shè)計主要是以時序電路為主,因為組合邏輯電路再怎么復(fù)雜也變不出太多花樣,理解起來也不沒太多困難。但是時序電路就不同了,它的所有動作都是在時鐘一拍一拍的節(jié)奏下轉(zhuǎn)變觸發(fā),可以說時鐘就是整個電路的控制者,控制不好,電路功能就會混亂。

打個比方,時鐘就相當于人體的心臟,它每一次的跳動就是觸發(fā)一個 CLK,向身體的各個器官供血,維持著機體的正常運作,每一個器官體統(tǒng)正常工作少不了組織細胞的構(gòu)成,那么觸發(fā)器就可以比作基本單元組織細胞。時序邏輯電路的時鐘是控制時序邏輯電路狀態(tài)轉(zhuǎn)換的“發(fā)動機”,沒有它時序邏輯電路就不能正常工作,因為時序邏輯電路主要是利用觸發(fā)器存儲電路的狀態(tài),而觸發(fā)器狀態(tài)變換需要時鐘的上升或下降沿!由此可見時鐘在時序電路中的核心作用!

最后簡單說一下體會吧,歸結(jié)起來就多實踐、多思考、多問。實踐出真知,看 100遍別人的方案不如自己去實踐一下。實踐的動力一方面來自興趣,一方面來自壓力,我個人覺得后者更重要。有需求會容易形成壓力,也就是說最好能在實際的項目開發(fā)中鍛煉,而不是為了學習而學習。

在實踐的過程中要多思考,多想想問題出現(xiàn)的原因,問題解決后要多問幾個為什么,這也是經(jīng)驗積累的過程,如果有寫項目日志的習慣更好,把問題及原因、解決的辦法都寫進去。最后還要多問,遇到問題思索后還得不到解決就要問了,畢竟個人的力量是有限的,問同學同事、問搜索引擎、問網(wǎng)友都可以,一篇文章、朋友們的點撥都可能幫助自己快速解決問題。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600653
  • FPGA開發(fā)板
    +關(guān)注

    關(guān)注

    10

    文章

    120

    瀏覽量

    31455
  • HDL語言
    +關(guān)注

    關(guān)注

    0

    文章

    46

    瀏覽量

    8901

原文標題:第一次接觸FPGA至今,總結(jié)的寶貴經(jīng)驗~

文章出處:【微信號:edn-china,微信公眾號:EDN電子技術(shù)設(shè)計】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    設(shè)計教訓(xùn)如何成就稱職的FPGA資深工程師?

    為了幫助大家解決FPGA開發(fā)中碰到的相關(guān)問題,電子發(fā)燒友網(wǎng)和FPGA資深工程師吳厚航(網(wǎng)名“特權(quán)同學”)進行了深入的交談,希望能為工程師群體
    發(fā)表于 08-20 14:31 ?5787次閱讀

    FPGA工程師DDR的學習

    請教下大家,作為FPGA工程師,我想深入學習下DDR相關(guān)的知識,主要是DDR3,應(yīng)該從哪
    發(fā)表于 05-17 14:42

    設(shè)計教訓(xùn)如何成就稱職的FPGA資深工程師?

    !大家起來看看特權(quán)同學告訴你哪些不為人知的秘訣:設(shè)計教訓(xùn)如何成就稱職的FPGA資深工程師?作為ASIC領(lǐng)域中種半定制電路,
    發(fā)表于 08-20 16:50

    FPGA資深FAE的經(jīng)驗獨白

    不可或缺的頂梁柱?! ?b class='flag-5'>一般來講,在初級工程師階段,所能做的就是打打下手,做一些別人指派的工作,研究實現(xiàn)某些具體的簡單的可能有參考的項目模塊,同時逐步積累一些
    發(fā)表于 09-24 15:21

    【社區(qū)之星】FPGA開發(fā)工程師淺談學習FPGA的正確打開方式

    起討論,解決問題的時候,也碰到了一些在其它技術(shù)方面有著較多經(jīng)驗的伙伴,這讓我在遇到其它技術(shù)方面的問題時,有了請教的對象。作為版主,能夠參與壇友互動,與眾多熱愛fpga技術(shù)的小伙伴
    發(fā)表于 03-09 15:01

    【社區(qū)之星】從菜鳥到資深LabVIEW工程師--小鷹的fighting之路

    參加了一些活動,后來就到了現(xiàn)在的公司,成了LabVIEW軟件工程師。我認為剛?cè)肼毜臅r候,應(yīng)該腳踏實地的完成領(lǐng)導(dǎo)完成的任務(wù),與同事多多交流,增長一些見識,利用業(yè)余時間,學習自己感興趣的知
    發(fā)表于 12-24 15:31

    FPGA資深FAE的經(jīng)驗獨白~

    的SignalTap II。一些輔助的調(diào)試工具最好也能夠掌握,如串口、網(wǎng)絡(luò)報文分析工具等等。電子設(shè)計相關(guān)的小工具有很多,根據(jù)自己的工作需要適當選擇。 ·文檔閱讀和編寫能力 作為FPGA
    發(fā)表于 01-07 10:34

    在機器學習的應(yīng)用上,軟件工程師FPGA真的有著難以逾越的鴻溝嗎?

    轉(zhuǎn)換成VHDL.。這個開發(fā)包當然有一些局限性,但是從軟件工程師的角度上來說,這已經(jīng)是個從試圖理解時鐘,頻率,器件類型的極大飛躍。我們這些軟件工程師比較自我,大多只關(guān)心機器
    發(fā)表于 12-11 15:54

    求大神分享一些關(guān)于FPGA設(shè)計的學習經(jīng)驗

    請求大神分享一些關(guān)于FPGA設(shè)計的學習經(jīng)驗
    發(fā)表于 04-15 06:47

    FPGA工程師需要具備哪些技能?

    。 FPGA工程師在進行DSP電路設(shè)計之前,需要深入了解數(shù)字信號處理技術(shù)。他們需要掌握數(shù)字信號處理中的概念和基礎(chǔ)知識,例如濾波器、傅里葉變換、數(shù)字信號采樣等。FPGA工程師還需要
    發(fā)表于 11-09 11:03

    100FPGA經(jīng)驗

    100FPGA經(jīng)驗 非常值得收藏 100FPGA經(jīng)驗 非常值得收藏
    發(fā)表于 11-11 17:01 ?23次下載

    個合格FPGA 工程師的基本要求

    個合格的FPGA工程師需要掌握哪些知識?這里根據(jù)自己的一些心得總結(jié)下,其他朋友可以補充啊。
    發(fā)表于 02-11 13:47 ?5141次閱讀

    多年經(jīng)驗的硬件工程師給您的一些忠告

    下面是多年經(jīng)驗的硬件工程師給您的一些忠告。
    的頭像 發(fā)表于 11-03 09:55 ?7922次閱讀

    資深測試開發(fā)工程師聊職業(yè)現(xiàn)狀

    作為資深測試開發(fā)工程師,同時以三年面試官的經(jīng)驗,感覺現(xiàn)在測試崗位供求關(guān)系嚴重失衡,同時也為一些測試
    的頭像 發(fā)表于 08-31 10:39 ?2743次閱讀

    從事15年FPGA的大齡工程師FPGA經(jīng)驗

    的大神給大家的肺腑之言,相信看完之后的你不在徘徊、不再猶豫、能夠勇往直前。 作為個從事了15年FPGA行業(yè)的大齡工程師。期間接也觸過一些項目管理和技術(shù)支持之類的工作,但總覺得自己更適
    的頭像 發(fā)表于 11-14 11:35 ?1.5w次閱讀