0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

臺(tái)積電在先進(jìn)制程上一直領(lǐng)跑業(yè)界,奠定了Foundry一哥地位

iotmag ? 來源:lq ? 2019-01-25 14:56 ? 次閱讀

現(xiàn)在半導(dǎo)體領(lǐng)域的晶圓代工+Fabless模式。Fabless模式,就是無工廠,專注從事芯片設(shè)計(jì),比如現(xiàn)在蘋果、高通、英偉達(dá)、華為海思、展訊等都是所謂Fabless模式。這些公司把芯片設(shè)計(jì)好交給臺(tái)積電或其他專門的晶圓代工生產(chǎn)流片。

臺(tái)積電在Foundry界左沖右突,尤其是最近十年,臺(tái)積電在先進(jìn)制程上一直領(lǐng)跑業(yè)界,奠定了Foundry一哥地位,就這樣,最先進(jìn)的半導(dǎo)體生產(chǎn)工藝,已經(jīng)壟斷在美國的英特爾、韓國的三星、中國***的臺(tái)積電手中。

1、英特爾的決策延遲

2012年開始,PC市場出現(xiàn)了持續(xù)下滑,導(dǎo)致英特爾在產(chǎn)能上出現(xiàn)過剩,晶圓代工廠利用率僅為60%。于是,英特爾開始加大了晶圓代工廠的對外開放。2013年之時(shí)英特爾的芯片代工廠將面向所有芯片企業(yè)開放。

不過,隨后英特爾的開始大舉進(jìn)軍移動(dòng)市場,延緩了這一計(jì)劃。英特爾本應(yīng)利用自己高級半導(dǎo)體工藝的優(yōu)勢為英偉達(dá)、高通、蘋果和德州儀器代工芯片,而不是自己搞移動(dòng)芯片。不過,英特爾認(rèn)為當(dāng)時(shí)的重點(diǎn)是設(shè)計(jì)自己的產(chǎn)品,而非為競爭對手代工。

但是,在正式退出手機(jī)/平板芯片市場之后,英特爾便與其他的諸如高通、三星、聯(lián)發(fā)科、英偉達(dá)等芯片廠商之間沒有了直接競爭,于是英特爾開始希望將這些曾經(jīng)的的競爭對手,轉(zhuǎn)變?yōu)樽约旱木A代工業(yè)務(wù)的客戶。

2016年英特爾與ARM達(dá)成協(xié)議,可以代工生產(chǎn)基于ARM Artisan Physical IP架構(gòu)的晶圓芯片。這一合作將使得英特爾能夠有能力為高通、蘋果等基于ARM架構(gòu)的移動(dòng)芯片廠商代工芯片,隨后LG將由英特爾代工生產(chǎn)其基于ARM架構(gòu)的10nm移動(dòng)芯片。

2017年英特爾除了推出自己全新的10nm FinFET工藝之外,還正式宣布對外開放其10nm FinFET工藝的代工,此外英特爾還宣布針對移動(dòng)領(lǐng)域及物聯(lián)網(wǎng)市場開放的22nm FFL工藝,而在此之前,英特爾的14nm FinFET代工業(yè)務(wù)也已經(jīng)順利開展。而英特爾此舉也被外界認(rèn)為是要全面進(jìn)軍代工市場與臺(tái)積電、三星爭奪市場。

2、各有千秋的制程工藝

英特爾最新的10nm制程工藝雖然比三星、臺(tái)積電的10nm工藝推出時(shí)間雖然略晚,但是它的晶體管密度卻達(dá)到了后者的兩倍。此外,英特爾10nm的鰭片間距、柵極間距、最小金屬間距、邏輯單元高度等指標(biāo)均領(lǐng)先于臺(tái)積電和三星的10nm。

臺(tái)積電以快速的成長速度成為了超過英特爾最大的代工廠。但是說臺(tái)積電稱霸晶圓代工還是太夸張了,半導(dǎo)體行業(yè)雖然技術(shù)就是硬實(shí)力,但是代工廠畢竟生產(chǎn)力有限,加上現(xiàn)在正值半導(dǎo)體發(fā)展的高潮,隨著IoT發(fā)展,對芯片需求只會(huì)越來越高,代工廠的生產(chǎn)力很快就會(huì)不足。

因此僅僅依靠臺(tái)積電是不可能的,三星和英特爾的代工廠也依然在半導(dǎo)體行業(yè)具有舉足輕重的地位。不過在移動(dòng)芯片代工領(lǐng)域,三星和臺(tái)積電起先使用了不同的工藝,目前多種工藝正在逐漸靠攏,因?yàn)榕_(tái)積電發(fā)展比較快,已經(jīng)遠(yuǎn)遠(yuǎn)超過了三星,導(dǎo)致三星的工藝良品率倒不如臺(tái)積電,所以在兩者都可用的情況下,會(huì)優(yōu)先選擇臺(tái)積電。至于英特爾,其工藝由于用于PC芯片,目前還無法突破10nm關(guān)卡,也許PC代工依然要尋求英特爾的工藝,但是對于英特爾能力的信任確實(shí)是越來越低的。

臺(tái)積電以快速的成長速度成為了超過英特爾最大的代工廠。但是說臺(tái)積電稱霸晶圓代工還是太夸張了,半導(dǎo)體行業(yè)雖然技術(shù)就是硬實(shí)力,但是代工廠畢竟生產(chǎn)力有限,加上現(xiàn)在正值半導(dǎo)體發(fā)展的高潮,隨著IoT發(fā)展,對芯片需求只會(huì)越來越高,代工廠的生產(chǎn)力很快就會(huì)不足。

3、三星轉(zhuǎn)型戰(zhàn)略并非易事

三星的純代工業(yè)務(wù)一直做不太起來,若不是前幾年高通轉(zhuǎn)過去業(yè)績恐怕更加難看,預(yù)計(jì)明年第一季出貨的高通旗艦芯片因?yàn)槿堑?納米工藝來不及所以又轉(zhuǎn)回臺(tái)積電,更加雪上加霜,三星只能接自家的獵戶座處理器和高通的中端芯片,無論如何整體來說是衰退的,其實(shí)論工藝倒不是三星差到不能用,最大原因還是血統(tǒng),只要代工部門還是隸屬三星那就白費(fèi)功夫,甚至獨(dú)立為一家公司,只要還是三星控股一樣沒戲,除非臺(tái)積電的工藝明顯落后給三星那才有點(diǎn)搞頭。

跟臺(tái)積電一樣,三星今年也要量產(chǎn)7nm工藝,不過在整個(gè)晶圓代工業(yè)務(wù)上,三星與臺(tái)積電的差距很大,除了高通之外主要就是自家使用。三星現(xiàn)在已經(jīng)把晶圓代工業(yè)務(wù)作為重點(diǎn),最近更是設(shè)立了專門的晶圓代工業(yè)務(wù)研發(fā)中心,力爭在今年內(nèi)營收達(dá)到100億美元,坐上代工廠第二把交椅,未來更把臺(tái)積電作為主要對手。

三星在代工業(yè)務(wù)部分的營收與三星的地位并不相稱,所以近年來也加大了代工業(yè)務(wù)投入。來自韓國koreabizwire網(wǎng)站的消息稱,三星最近成立了晶圓代工研發(fā)中心,這是三星設(shè)備解決方案部門的第9個(gè)研發(fā)中心,此前已經(jīng)有存儲(chǔ)器、LSI、半導(dǎo)體、封測、LED、生產(chǎn)工藝、軟件及顯示等多個(gè)研發(fā)部門。

從現(xiàn)實(shí)情況來看,臺(tái)積電在代工上依然占據(jù)優(yōu)勢,手握蘋果、AMD、NVIDIA、賽靈思、海思、比特大陸等客戶,三星曾經(jīng)在A9處理器上為蘋果代工部分A9處理器,但之后蘋果訂單都被臺(tái)積電獨(dú)攬,三星近年來在代工市場收獲的大客戶就是高通,驍龍835、驍龍845都是三星10nm工藝代工的。

4、未來變數(shù)未卜

代工市場一直都是臺(tái)積電、英特爾和三星的“三足鼎立”局面,若英特爾就此淡出,三星和臺(tái)積電就是雙雄對決。臺(tái)積電制程能力很強(qiáng),但是三星也并不差,而且在設(shè)計(jì)能力方面,三星有自家的處理器Exynox,從這一點(diǎn)上講,三星高于臺(tái)積電。而且三星是一家勇于拼第一的企業(yè),不論內(nèi)存、閃存、面板、手機(jī)、電視全部都是以成為世界第一為目標(biāo),所以在晶圓代工產(chǎn)業(yè)里,相信三星也不會(huì)甘于居臺(tái)積電之后。

未來幾年,臺(tái)積電在晶圓代工市場中占有的份額,還會(huì)繼續(xù)上升。2016年,臺(tái)積電的營收為290多億美元,同年的凈利就高達(dá)100億美元左右。換算一下就是,臺(tái)積電在當(dāng)年的凈利潤率便在30%以上!而從2012年算起,臺(tái)積電的凈利潤率即始一直保持在30%。

由于英特爾和三星等大廠都是家大業(yè)大,本身都已能研發(fā)先進(jìn)的制程工藝,都能投入大量的資金用于晶圓工廠的建設(shè)和改造升級。很自然地,英特爾和三星等大廠在眼看著臺(tái)積電每年從晶圓代工市場中賺到的錢,不僅一年比一年多,還能維持這么高的凈利潤率,英特爾和三星等晶圓大廠肯定是坐不住的。況且,英特爾作為一家既能自主設(shè)計(jì)芯片,又能自己生產(chǎn)芯片的全能型半導(dǎo)體廠商,每年的營收比臺(tái)積電多,在技術(shù)研發(fā)方面的投入也是數(shù)倍于臺(tái)積電,且英特爾的制程工藝比臺(tái)積電還更先進(jìn)。但2019年晶圓代工格局大勢已定,英特爾和三星各有千秋,但想要坐上臺(tái)積電的寶座,還為時(shí)尚早。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 英特爾
    +關(guān)注

    關(guān)注

    60

    文章

    9826

    瀏覽量

    171146
  • 存儲(chǔ)器
    +關(guān)注

    關(guān)注

    38

    文章

    7408

    瀏覽量

    163426
  • 晶圓代工
    +關(guān)注

    關(guān)注

    6

    文章

    854

    瀏覽量

    48491

原文標(biāo)題:2019年晶圓代工格局大勢已定

文章出處:【微信號:iotmag,微信公眾號:iotmag】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    臺(tái)先進(jìn)封裝產(chǎn)能加速擴(kuò)張

    臺(tái)作為晶圓代工領(lǐng)域的領(lǐng)頭羊,正加速其產(chǎn)能擴(kuò)張步伐,以應(yīng)對日益增長的人工智能市場需求。據(jù)摩根士丹利最新發(fā)布的投資報(bào)告“高資本支出與持續(xù)性的成長”顯示,臺(tái)
    的頭像 發(fā)表于 09-27 16:45 ?436次閱讀

    臺(tái)引領(lǐng)全球晶圓代工熱潮,明年產(chǎn)值料增逾二成

    近日,知名研究機(jī)構(gòu)集邦科技(TrendForce)發(fā)布最新預(yù)測報(bào)告,揭示全球晶圓代工行業(yè)的片繁榮景象。報(bào)告指出,臺(tái)
    的頭像 發(fā)表于 09-24 14:52 ?275次閱讀

    臺(tái)3nm制程需求激增,全年?duì)I收預(yù)期上調(diào)

    臺(tái)近期迎來3nm制程技術(shù)的出貨高潮,預(yù)示著其在半導(dǎo)體制造領(lǐng)域的領(lǐng)先地位進(jìn)步鞏固。隨著蘋果i
    的頭像 發(fā)表于 09-10 16:56 ?580次閱讀

    消息稱臺(tái)有望9月啟動(dòng)2nm MPW服務(wù)

    據(jù)最新消息,臺(tái)計(jì)劃在9月正式拉開新輪多項(xiàng)目晶圓(MPW)服務(wù)的序幕,此次尤為引人注目的是,該輪MPW服務(wù)有望首次引入2nm制程選項(xiàng),標(biāo)
    的頭像 發(fā)表于 09-02 16:03 ?249次閱讀

    臺(tái)3nm代工及先進(jìn)封裝價(jià)格或?qū)⑸蠞q

    在全球半導(dǎo)體產(chǎn)業(yè)中,臺(tái)一直以其卓越的技術(shù)和產(chǎn)能引領(lǐng)著行業(yè)的發(fā)展。近日,據(jù)業(yè)界消息透露,臺(tái)
    的頭像 發(fā)表于 06-24 11:31 ?701次閱讀

    臺(tái)回應(yīng)先進(jìn)制程漲價(jià)傳聞:定價(jià)以策略為導(dǎo)向

    近日,市場上傳出臺(tái)將針對先進(jìn)制程技術(shù)進(jìn)行價(jià)格調(diào)整的傳聞,涉及5納米、3納米以及未來2納米制程。據(jù)稱,該公司計(jì)劃在下半年啟動(dòng)新的價(jià)格調(diào)漲談判,并預(yù)計(jì)漲價(jià)決策將在2025年正式生效。
    的頭像 發(fā)表于 06-19 11:37 ?567次閱讀

    臺(tái)2023年報(bào):先進(jìn)制程先進(jìn)封裝業(yè)務(wù)成績

    據(jù)悉,臺(tái)近期發(fā)布的2023年報(bào)詳述其先進(jìn)制程先進(jìn)封裝業(yè)務(wù)進(jìn)展,包括N2、N3、N4、N5、N6e等工藝節(jié)點(diǎn),以及SoIC CoW、Co
    的頭像 發(fā)表于 04-25 15:54 ?550次閱讀

    臺(tái)領(lǐng)跑半導(dǎo)體市場:2納米制程領(lǐng)先行業(yè),3納米產(chǎn)能飆升

    臺(tái)預(yù)期,目前營收總額約 70% 是來自 16 納米以下先進(jìn)制程技術(shù),隨著 3 納米和 2 納米制程技術(shù)的貢獻(xiàn)在未來幾年漸增,比重將會(huì)繼續(xù)
    的頭像 發(fā)表于 02-21 16:33 ?705次閱讀

    臺(tái)在2nm制程技術(shù)展開防守策略

    臺(tái)的2nm技術(shù)是3nm技術(shù)的延續(xù)。一直以來,臺(tái)
    發(fā)表于 01-25 14:14 ?388次閱讀

    消息稱臺(tái)1nm制程廠選址確定

    據(jù)消息人士透露,臺(tái)已經(jīng)決定將其1nm制程廠選址在嘉義科學(xué)園區(qū)。為了滿足這先進(jìn)制程技術(shù)的需求
    的頭像 發(fā)表于 01-23 15:15 ?1232次閱讀

    臺(tái)先進(jìn)封裝產(chǎn)能供不應(yīng)求

    因?yàn)锳I芯片需求的大爆發(fā),臺(tái)先進(jìn)封裝產(chǎn)能供不應(yīng)求,而且產(chǎn)能供不應(yīng)求的狀況可能延續(xù)到2025年;這是臺(tái)
    的頭像 發(fā)表于 01-22 18:48 ?884次閱讀

    2020年臺(tái)先進(jìn)制程員工泄密案終于達(dá)成和解

    來源:天天IC,謝謝 編輯:感知芯視界 Link 集微網(wǎng)消息,臺(tái)制造技術(shù)研發(fā)部門陳姓女技術(shù)副經(jīng)理,因 將公司先進(jìn)制程重要機(jī)密信息上傳云端,并制成蜘蛛網(wǎng)圖(spidergram)給林
    的頭像 發(fā)表于 01-08 13:18 ?429次閱讀

    芯片先進(jìn)制程之爭:2nm戰(zhàn)況激烈,1.8/1.4nm苗頭顯露

    隨著GPU、CPU等高性能芯片不斷對芯片制程提出了更高的要求,突破先進(jìn)制程技術(shù)壁壘已是業(yè)界的共同目標(biāo)。目前放眼全球,掌握先進(jìn)制程技術(shù)的企業(yè)主要為臺(tái)
    的頭像 發(fā)表于 01-04 16:20 ?813次閱讀
    芯片<b class='flag-5'>先進(jìn)制程</b>之爭:2nm戰(zhàn)況激烈,1.8/1.4nm苗頭顯露

    臺(tái)押注先進(jìn)制程研發(fā),臺(tái)資本支出縮水

    臺(tái)因部分制程設(shè)備可共享,加上部分遞延預(yù)算將在今年動(dòng)用,2024年資本支出恐降至280億~300億美元,較今年減少約6.3%~12.5%。外界預(yù)期,
    發(fā)表于 12-06 10:37 ?275次閱讀

    臺(tái)2nm將面臨3大挑戰(zhàn)?

    日前,臺(tái)董事長劉德音在出席第屆李國鼎獎(jiǎng)?lì)C獎(jiǎng)典禮,在媒體追問 1.4 納米先進(jìn)制程的進(jìn)度時(shí)表示,臺(tái)
    的頭像 發(fā)表于 11-22 17:05 ?608次閱讀