0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

正點(diǎn)原子FPGA之基礎(chǔ)外設(shè):數(shù)碼管動(dòng)態(tài)顯示實(shí)驗(yàn)

電子硬件DIY視頻 ? 來(lái)源:電子硬件DIY視頻 ? 2019-09-06 06:05 ? 次閱讀

數(shù)碼管動(dòng)態(tài)顯示接口單片機(jī)中應(yīng)用最為廣泛的一種顯示方式之一,動(dòng)態(tài)驅(qū)動(dòng)是將所有數(shù)碼管的8個(gè)顯示筆劃"a,b,c,d,e,f,g,dp"的同名端連在一起,另外為每個(gè)數(shù)碼管的公共極COM增加位選通控制電路,位選通由各自獨(dú)立的I/O線控制,當(dāng)單片機(jī)輸出字形碼時(shí),所有數(shù)碼管都接收到相同的字形碼,但究竟是哪個(gè)數(shù)碼管會(huì)顯示出字形,取決于單片機(jī)對(duì)位選通COM端電路的控制,所以我們只要將需要顯示的數(shù)碼管的選通控制打開(kāi),該位就顯示出字形,沒(méi)有選通的數(shù)碼管就不會(huì)亮。通過(guò)分時(shí)輪流控制各個(gè)數(shù)碼管的的COM端,就使各個(gè)數(shù)碼管輪流受控顯示,這就是動(dòng)態(tài)驅(qū)動(dòng)。在輪流顯示過(guò)程中,每位數(shù)碼管的點(diǎn)亮?xí)r間為1~2ms,由于人的視覺(jué)暫留現(xiàn)象及發(fā)光二極管的余輝效應(yīng),盡管實(shí)際上各位數(shù)碼管并非同時(shí)點(diǎn)亮,但只要掃描的速度足夠快,給人的印象就是一組穩(wěn)定的顯示數(shù)據(jù),不會(huì)有閃爍感,動(dòng)態(tài)顯示的效果和靜態(tài)顯示是一樣的,能夠節(jié)省大量的I/O端口,而且功耗更低。[3]

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600483
  • 單片機(jī)
    +關(guān)注

    關(guān)注

    6026

    文章

    44453

    瀏覽量

    630853
  • 數(shù)碼管
    +關(guān)注

    關(guān)注

    32

    文章

    1871

    瀏覽量

    90727
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    正點(diǎn)原子FPGA連載】第十二章 動(dòng)態(tài)數(shù)碼管顯示實(shí)驗(yàn)

    /1WzZfnb0gGPkthV7x_SrsKw 提取碼:vjpn4)對(duì)正點(diǎn)原子FPGA感興趣的同學(xué)可以加群討論:712557122點(diǎn)擊加入:5)關(guān)注正點(diǎn)
    發(fā)表于 06-15 22:54

    正點(diǎn)原子開(kāi)拓者FPGA開(kāi)發(fā)板資料連載第十二章 動(dòng)態(tài)數(shù)碼管顯示實(shí)驗(yàn)

    不同的數(shù)字,這就需要以動(dòng)態(tài)驅(qū)動(dòng)的方式驅(qū)動(dòng)數(shù)碼管。本章我們主要介紹數(shù)碼管動(dòng)態(tài)驅(qū)動(dòng)的原理以及如何使用動(dòng)態(tài)驅(qū)動(dòng)的方式在
    發(fā)表于 07-30 14:55

    完成數(shù)碼管動(dòng)態(tài)顯示的方法

    開(kāi)拓者FPGA開(kāi)發(fā)板上有六個(gè)共陽(yáng)極八段數(shù)碼管,本實(shí)驗(yàn)將完成數(shù)碼管動(dòng)態(tài)顯示數(shù)碼管
    發(fā)表于 01-18 10:25

    分享三個(gè)在FPGA開(kāi)發(fā)板上實(shí)現(xiàn)數(shù)碼管動(dòng)態(tài)顯示的案例

    1、在FPGA開(kāi)發(fā)板上實(shí)現(xiàn)數(shù)碼管動(dòng)態(tài)顯示的案例介紹數(shù)碼管動(dòng)態(tài)顯示原理在開(kāi)展本章實(shí)驗(yàn)之前,我們需要
    發(fā)表于 07-25 15:18

    分享一個(gè)基本的數(shù)碼管動(dòng)態(tài)顯示案例

    1、分享一個(gè)基本的數(shù)碼管動(dòng)態(tài)顯示案例數(shù)碼管動(dòng)態(tài)顯示原理在開(kāi)展本章實(shí)驗(yàn)之前,我們需要先了解一下數(shù)碼管
    發(fā)表于 08-01 15:21

    FPGA入門實(shí)現(xiàn)教程之數(shù)碼管動(dòng)態(tài)顯示

    FPGA入門實(shí)現(xiàn)教程之數(shù)碼管動(dòng)態(tài)顯示
    發(fā)表于 11-13 16:25 ?93次下載

    數(shù)碼管動(dòng)態(tài)顯示實(shí)驗(yàn)

    數(shù)碼管動(dòng)態(tài)顯示實(shí)驗(yàn)一、實(shí)驗(yàn)目的在實(shí)際的單片機(jī)系統(tǒng)中,往往需要多位顯示。動(dòng)態(tài)顯示是一種最常見(jiàn)的多位
    發(fā)表于 03-23 10:45 ?9813次閱讀

    基于FPGA的8段數(shù)碼管動(dòng)態(tài)顯示IP核設(shè)計(jì)

    基于FPGA的8段數(shù)碼管動(dòng)態(tài)顯示IP核設(shè)計(jì)  引言   數(shù)碼管顯示簡(jiǎn)單的字符和數(shù)字,由于其價(jià)格低廉、性能穩(wěn)定、
    發(fā)表于 02-03 10:03 ?2350次閱讀
    基于<b class='flag-5'>FPGA</b>的8段<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>動(dòng)態(tài)顯示</b>IP核設(shè)計(jì)

    數(shù)碼管動(dòng)態(tài)顯示)【C語(yǔ)言版】

    數(shù)碼管動(dòng)態(tài)顯示)【C語(yǔ)言版】數(shù)碼管動(dòng)態(tài)顯示)【C語(yǔ)言版】數(shù)碼管動(dòng)態(tài)顯示)【C語(yǔ)言版】
    發(fā)表于 12-29 15:51 ?0次下載

    MIN數(shù)碼管動(dòng)態(tài)顯示

    數(shù)碼管動(dòng)態(tài)顯示,MIN數(shù)碼管動(dòng)態(tài)顯示,MIN。
    發(fā)表于 05-03 10:48 ?9次下載

    使用FPGA DIY控制板實(shí)現(xiàn)數(shù)碼管動(dòng)態(tài)顯示功能

    數(shù)碼管動(dòng)態(tài)顯示——FPGA
    的頭像 發(fā)表于 06-22 01:00 ?4699次閱讀
    使用<b class='flag-5'>FPGA</b> DIY控制板實(shí)現(xiàn)<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>動(dòng)態(tài)顯示</b>功能

    采用FPGA DIY開(kāi)發(fā)板實(shí)現(xiàn)數(shù)碼管動(dòng)態(tài)顯示60計(jì)數(shù)

    asean的 FPGA DIY 數(shù)碼管動(dòng)態(tài)顯示60計(jì)數(shù)視頻
    的頭像 發(fā)表于 06-20 12:04 ?3325次閱讀

    正點(diǎn)原子開(kāi)拓者FPGA數(shù)碼管動(dòng)態(tài)顯示實(shí)驗(yàn)

    數(shù)碼管動(dòng)態(tài)顯示接口是單片機(jī)中應(yīng)用最為廣泛的一種顯示方式之一,動(dòng)態(tài)驅(qū)動(dòng)是將所有數(shù)碼管的8個(gè)顯示筆劃
    的頭像 發(fā)表于 09-09 06:09 ?3470次閱讀
    <b class='flag-5'>正點(diǎn)</b><b class='flag-5'>原子</b>開(kāi)拓者<b class='flag-5'>FPGA</b>:<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>動(dòng)態(tài)顯示</b><b class='flag-5'>實(shí)驗(yàn)</b>

    FPGA入門系列實(shí)驗(yàn)教程之使用FPGA實(shí)現(xiàn)數(shù)碼管動(dòng)態(tài)顯示的資料免費(fèi)下載

    實(shí)現(xiàn)開(kāi)發(fā)板上 8 個(gè)數(shù)碼管動(dòng)態(tài)顯示 0~7。通過(guò)這個(gè)實(shí)驗(yàn),掌握采用 Verilog HDL語(yǔ)言編程實(shí)現(xiàn) 7 段數(shù)碼管顯示譯碼器以及
    發(fā)表于 06-12 16:32 ?15次下載
    <b class='flag-5'>FPGA</b>入門系列<b class='flag-5'>實(shí)驗(yàn)</b>教程之使用<b class='flag-5'>FPGA</b>實(shí)現(xiàn)<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>動(dòng)態(tài)顯示</b>的資料免費(fèi)下載

    數(shù)碼管動(dòng)態(tài)顯示的原理概述

    動(dòng)態(tài)顯示的特點(diǎn)是將所有數(shù)碼管的段選線并聯(lián)在一起,由位選線控制是哪一位數(shù)碼管有效。選亮數(shù)碼管采用動(dòng)態(tài)掃描
    發(fā)表于 11-04 08:00 ?0次下載