電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>LED控制VHDL程序與仿真

LED控制VHDL程序與仿真

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

FPGA 編程開(kāi)發(fā)實(shí)例

LED控制VHDL程序仿真 2004.8修改.doc;LED控制VHDL程序仿真;LCD控制VHDL程序仿真 2004.8修改;LCD控制VHDL程序仿真
2008-06-27 10:41:44

[求助]如何將VHDL程序 軟件仿真出波形

我有一些VHDL 編寫(xiě)的程序請(qǐng)問(wèn)如何對(duì)他們進(jìn)行軟件仿真  要求出波形。
2010-05-07 09:36:22

[求助]編寫(xiě)全自動(dòng)洗衣機(jī)控制電路的VHDL語(yǔ)言程序

馬上本科畢業(yè),專(zhuān)業(yè)方向是信息化工程管理,但是論文題目抽到的是 編寫(xiě)全自動(dòng)洗衣機(jī)控制電路的VHDL語(yǔ)言程序,沒(méi)有學(xué)習(xí)過(guò),在圖書(shū)館借書(shū)看了后感覺(jué)很復(fù)雜,由于要實(shí)習(xí)很難有時(shí)間研究.希望論壇有 高人 能給
2010-03-01 12:45:08

fpga很有價(jià)值的27教程

fpga很有價(jià)值的27教程LED控制VHDL程序仿真 LED控制VHDL程序仿真;LCD控制VHDL程序仿真 LCD控制VHDL程序仿真;ADC0809 VHDL控制程序;TLC5510
2008-05-20 09:51:33

【FPGA設(shè)計(jì)實(shí)例】電子時(shí)鐘VHDL程序仿真

LED上顯示相應(yīng)數(shù)字。5.頂層設(shè)計(jì)與仿真(1)頂層設(shè)計(jì)VHDL程序--文件名:clock.vhd。--功能:時(shí)鐘的頂層設(shè)計(jì)。資料下載:
2012-03-05 15:13:19

【FPGA設(shè)計(jì)實(shí)例】自動(dòng)售貨機(jī)VHDL程序仿真

本帖最后由 eehome 于 2013-1-5 09:56 編輯 自動(dòng)售貨機(jī)VHDL程序仿真(1)自動(dòng)售貨機(jī)VHDL程序如下:--文件名:pl_auto1.vhd。--功能:貨物信息存儲(chǔ)
2012-03-05 15:03:26

單片機(jī)控制閃爍的LED仿真程序下載

main(){while(1) {LED=~LED;Delayms(150); }} 單片機(jī)控制閃爍的LED燈 PROTEUS仿真程序閃爍的LED燈.zip 2016-8-15 14:18 上傳 點(diǎn)擊文件名下載附件 48.26 KB, 下載次數(shù): 3
2018-07-19 04:14:57

基于VHDL怎么實(shí)現(xiàn)交通燈控制器?

應(yīng)用VHDL語(yǔ)言設(shè)計(jì)數(shù)字系統(tǒng),大部分設(shè)計(jì)工作可在計(jì)算機(jī)上完成,從而縮短系統(tǒng)開(kāi)發(fā)時(shí)間,提高工作效率。下面介紹基于VHDL設(shè)計(jì)交通燈控制器的一種方案,并給出源程序仿真結(jié)果。
2019-10-18 07:21:51

基于VHDL的FFT的程序

有沒(méi)有人有基于VHDL的FFT的程序,有仿真更好,謝謝
2012-05-13 19:12:29

電梯控制VHDL程序仿真

電梯控制VHDL程序仿真  --文件名:dianti.vhd。--功能:6層樓的電梯控制系統(tǒng)。--最后修改日期:2004.4.12。library ieee;use
2008-06-27 10:55:52

fpga很有價(jià)值的27實(shí)例教程下載

LED控制VHDL程序仿真 LED控制VHDL程序仿真;LCD控制VHDL程序仿真 LCD控制VHDL程序仿真;ADC
2008-05-20 09:57:30312

TEXTIO及其在VHDL仿真中的應(yīng)用

TEXTIO 在VHDL 仿真與磁盤(pán)文件之間架起了橋梁,使用文本文件擴(kuò)展VHDL仿真功能。本文介紹TEXTIO 程序包,以一個(gè)加法器實(shí)例說(shuō)明TEXTIO 的使用方法,最后使用ModelSim對(duì)設(shè)計(jì)進(jìn)行仿真,
2009-04-15 10:37:2635

VHDL 語(yǔ)言程序的元素

VHDL 語(yǔ)言程序的元素:本章主要內(nèi)容:􀁺VHDL語(yǔ)言的對(duì)象􀁺VHDL語(yǔ)言的數(shù)據(jù)類(lèi)型􀁺VHDL語(yǔ)言的運(yùn)算符􀁺VHDL語(yǔ)言的標(biāo)識(shí)符􀁺VHDL語(yǔ)言的詞法單元
2009-09-28 14:32:2141

VHDL設(shè)計(jì)十六路彩燈控制

摘要:VHDL設(shè)計(jì)技術(shù)是引起數(shù)字系統(tǒng)設(shè)計(jì)方式發(fā)生突破性變革的技術(shù)。本文論述了使用VHDL設(shè)計(jì)十六路彩燈控制器的過(guò)程。VHDL為設(shè)計(jì)提供了更大的靈活性,使程序具有更高的通用性,
2010-05-23 09:36:11143

PSK調(diào)制與解調(diào)VHDL程序仿真

1. CPSK調(diào)制VHDL
2010-06-22 16:06:1742

VHDL程序100例

VHDL程序100例
2010-11-01 17:05:30319

VHDL密碼控制系統(tǒng)的設(shè)計(jì)

闡述密碼控制設(shè)計(jì)的基本原理。介紹了VHDL語(yǔ)言的特點(diǎn)以及基本的語(yǔ)法結(jié)構(gòu)。在MAX+plusⅡ開(kāi)發(fā)軟件環(huán)境下,利用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)密碼控制系統(tǒng)設(shè)計(jì),并對(duì)其系統(tǒng)各個(gè)模塊進(jìn)行仿真
2010-12-16 16:10:370

VHDL實(shí)現(xiàn)PCM碼解調(diào)程序模塊設(shè)計(jì)

依據(jù)VHDL程序設(shè)計(jì)出針對(duì)現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)的脈沖編碼調(diào)制(PCM)碼解調(diào)電路。解調(diào)數(shù)據(jù)過(guò)程分為位同步、字節(jié)同步、幀同步和串并轉(zhuǎn)換,并對(duì)相關(guān)程序模塊進(jìn)行仿真。通過(guò)調(diào)
2010-12-21 10:12:3675

多功能波形發(fā)生器VHDL程序仿真

多功能波形發(fā)生器VHDL程序仿真 --文件名:mine4.vhd。 --功能:實(shí)現(xiàn)4種常見(jiàn)波形正弦、三角、鋸齒、方波(A、B)的頻率、幅
2008-06-27 10:43:392628

步進(jìn)電機(jī)定位控制系統(tǒng)VHDL程序仿真

步進(jìn)電機(jī)定位控制系統(tǒng)VHDL程序仿真library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all; entity step_mot
2008-06-27 10:44:321542

出租車(chē)計(jì)價(jià)器VHDL程序仿真

出租車(chē)計(jì)價(jià)器VHDL程序仿真 --文件名:taxi.hd。--功能:出租車(chē)計(jì)價(jià)器。--最后修
2008-06-27 10:49:292231

出租車(chē)計(jì)價(jià)器VHDL程序

程序設(shè)計(jì)與仿真。1. 出租車(chē)計(jì)價(jià)器VHDL程序--文件名:taxi.hd --功能:出租車(chē)計(jì)價(jià)器 --最后修改日期:2004.4.9
2008-06-27 10:51:311798

TLC5510 VHDL控制程序

TLC5510 VHDL控制程序--文件名:TLC5510.vhd--功能:基于VHDL語(yǔ)言,實(shí)現(xiàn)對(duì)高速A/D器件TLC5510控制--最后修改日期
2008-06-27 11:12:581433

LCD控制VHDL程序仿真

LCD控制VHDL程序仿真 1. FPGA驅(qū)動(dòng)LCD顯示中文字符“年”程序--文件名:lcd_driver.vhd。--功能:FGAD驅(qū)動(dòng)LCD顯示中文字符“年”。--最后修改日期:2004.3.24。
2008-06-27 11:20:031545

基于VHDL的通信編碼波形的設(shè)計(jì)與仿真

文章將用VHDL設(shè)計(jì)八種常用的編碼方式,并運(yùn)用ALTERA公司的QUARTUSII設(shè)計(jì)軟件進(jìn)行仿真調(diào)試。
2012-01-13 17:25:052979

基于VHDL的交通燈控制器設(shè)計(jì)

 應(yīng)用VHDL語(yǔ)言設(shè)計(jì)數(shù)字系統(tǒng),大部分設(shè)計(jì)工作可在計(jì)算機(jī)上完成,從而縮短系統(tǒng)開(kāi)發(fā)時(shí)間,提高工作效率。下面介紹基于VHDL設(shè)計(jì)交通燈控制器的一種方案,并給出源程序仿真結(jié)果。
2012-05-22 16:33:305752

基于Multisim的VHDL建模與仿真

本文主要分析了QuartusⅡ的特點(diǎn)和虛擬仿真軟件的優(yōu)越性,以交通燈控制系統(tǒng)為例,介紹了在虛擬仿真軟件Multisim平臺(tái)上使用VHDL硬件描述語(yǔ)言進(jìn)行程序編寫(xiě)、電路建模和仿真的方法。
2012-10-25 14:58:319562

基于Xilinx FPGA和VHDL的數(shù)字秒表設(shè)計(jì)與仿真實(shí)現(xiàn)

文中著重介紹了一種基于FPGA利用VHDL硬件描述語(yǔ)言的數(shù)字秒表設(shè)計(jì)方法,在設(shè)計(jì)過(guò)程中使用基于VHDL的EDA工具M(jìn)odelSim對(duì)各個(gè)模塊仿真驗(yàn)證,并給出了完整的源程序仿真結(jié)果。
2012-12-25 11:19:246071

基于VHDL的QPSK調(diào)制解調(diào)系統(tǒng)設(shè)計(jì)與仿真

文中詳細(xì)介紹了QPSK技術(shù)的工作原理和QPSK調(diào)制、解調(diào)的系統(tǒng)設(shè)計(jì)方案,并通過(guò)VHDL語(yǔ)言編寫(xiě)調(diào)制解調(diào)程序和QuartusII軟件建模對(duì)程序進(jìn)行仿真,通過(guò)引腳鎖定,下載程序到FPGA芯片EP1K30TC144
2013-06-08 17:47:1991

8.2+LED控制VHDL程序仿真

2013-06-22 16:02:3122

按鈕控制LED程序【匯編版】

按鈕控制LED程序【匯編版】按鈕控制LED程序【匯編版】按鈕控制LED程序【匯編版】按鈕控制LED程序【匯編版】
2015-12-29 11:04:180

數(shù)字鐘VHDL程序

數(shù)字鐘VHDL程序,quartusii軟件,八位七段數(shù)碼管顯示時(shí)間,按鍵可控
2015-12-31 14:56:3425

8.2 LED控制VHDL程序仿真

FPGA學(xué)習(xí)資料,有興趣的同學(xué)可以下載看看。
2016-04-08 17:46:199

LED控制VHDL程序仿真 2004.8修改

FPGA學(xué)習(xí)資料,有興趣的同學(xué)可以下載看看。
2016-04-08 17:19:467

DSP之 FSK調(diào)制與解調(diào)VHDL程序仿真教程

DSP之 FSK調(diào)制與解調(diào)VHDL程序仿真教程,很好的DSP自學(xué)資料,快來(lái)學(xué)習(xí)吧。
2016-04-15 17:37:3512

DSP之PSK調(diào)制與解調(diào)VHDL程序仿真教程

DSP之 PSK調(diào)制與解調(diào)VHDL程序仿真教程,很好的DSP自學(xué)資料,快來(lái)學(xué)習(xí)吧。
2016-04-15 17:42:4115

DSP之MASK調(diào)制VHDL程序仿真

DSP之MASK調(diào)制VHDL程序仿真,很好的DSP自學(xué)資料,快來(lái)學(xué)習(xí)吧。
2016-04-15 17:42:4116

DSP之MPSK調(diào)制與解調(diào)VHDL程序仿真

DSP之MPSK調(diào)制與解調(diào)VHDL程序仿真,很好的DSP自學(xué)資料,快來(lái)學(xué)習(xí)吧。
2016-04-15 17:42:414

狀態(tài)機(jī)VHDL程序

狀態(tài)機(jī)VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 15:51:005

VGA_VHDL程序

VGA VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 15:51:003

STRING VHDL程序

STRING VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:55:470

PLUSE VHDL程序

PLUSE VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:55:471

GRAYTOBIN VHDL程序

GRAYTOBIN VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:111

ALPHER VHDL程序

ALPHER VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:110

ADDER4 VHDL程序

ADDER4 VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:110

4乘4矩陣鍵盤(pán)的掃描程序_VHDL程序

4乘4矩陣鍵盤(pán)的掃描程序 VHDL程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:1146

VHDL例程流水燈LED程序

VHDL例程 流水燈LED程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:1112

VHDL例程VGA程序

VHDL例程VGA程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-10 17:12:342

VHDL例程FIFO程序

VHDL例程 FIFO程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-10 17:12:344

VHDL例程分頻器程序

VHDL例程 分頻器程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-10 17:12:341

開(kāi)關(guān)控制數(shù)碼管的VHDL程序的設(shè)計(jì)與實(shí)現(xiàn)

本文主要介紹了開(kāi)關(guān)控制數(shù)碼管的VHDL程序的設(shè)計(jì)與實(shí)現(xiàn)。VHDL是一種應(yīng)用廣泛的硬件描述語(yǔ)言,設(shè)計(jì)者可以通過(guò)它編寫(xiě)代碼,通過(guò)模擬器仿真驗(yàn)證其功能,完成邏輯綜合與邏輯優(yōu)化,最后通過(guò)下載到相應(yīng)
2018-01-15 16:24:494850

vhdl按鍵控制數(shù)碼管顯示

本文主要介紹了vhdl按鍵控制數(shù)碼管顯示。利用VHDL來(lái)實(shí)現(xiàn)程序控制有這些優(yōu)點(diǎn):VHDL支持自頂至下的和基于庫(kù)的設(shè)計(jì)方法,而且支持同步電路、異步電路、現(xiàn)場(chǎng)可編程門(mén)陣列器件FPGA以及其他隨機(jī)
2018-01-16 16:55:3617309

VHDL-AMS的特性、仿真分析與在控制系統(tǒng)中的應(yīng)用

本文介紹VHDL-AMS的新概念和新特性。通過(guò)對(duì)PID控制原理進(jìn)行數(shù)學(xué)分析,建立PID控制器的數(shù)學(xué)模型,實(shí)現(xiàn)PID控制器的VHDL-AMS行為級(jí)建模,并進(jìn)行仿真分析。
2018-11-14 08:03:002733

基于VHDL的交通燈控制器設(shè)計(jì)方案、源程序仿真分析介紹

應(yīng)用VHDL語(yǔ)言設(shè)計(jì)數(shù)字系統(tǒng),大部分設(shè)計(jì)工作可在計(jì)算機(jī)上完成,從而縮短系統(tǒng)開(kāi)發(fā)時(shí)間,提高工作效率。下面介紹基于VHDL設(shè)計(jì)交通燈控制器的一種方案,并給出源程序仿真結(jié)果。
2018-11-14 10:59:004624

VHDL程序的順序語(yǔ)句如何應(yīng)用詳細(xì)實(shí)驗(yàn)資料說(shuō)明

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL程序的順序語(yǔ)句如何應(yīng)用詳細(xì)實(shí)驗(yàn)資料說(shuō)明。一、 實(shí)驗(yàn)?zāi)康?. 鞏固編譯、仿真VHDL文件的方法2. 掌握VHDL程序順序語(yǔ)句的應(yīng)用
2018-10-17 08:00:006

VHDL程序的并行語(yǔ)句如何應(yīng)用詳細(xì)實(shí)驗(yàn)資料說(shuō)明

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL程序的并行語(yǔ)句如何應(yīng)用詳細(xì)實(shí)驗(yàn)資料說(shuō)明。一、 實(shí)驗(yàn)?zāi)康?. 鞏固編譯、仿真VHDL文件的方法2. 掌握VHDL程序并行語(yǔ)句的應(yīng)用
2018-10-17 08:00:0023

實(shí)現(xiàn)單片機(jī)控制LED燈循環(huán)亮滅的proteus仿真電路和程序免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是實(shí)現(xiàn)單片機(jī)控制LED燈循環(huán)亮滅的proteus仿真電路和程序免費(fèi)下載。
2019-08-26 17:30:0016

vhdl語(yǔ)言怎么仿真_vhdl語(yǔ)言的基本結(jié)構(gòu)

VHDL程序中,實(shí)體(ENTITY)和結(jié)構(gòu)體(ARCHITECTURE)這兩個(gè)基本結(jié)構(gòu)是必須的,他們可以構(gòu)成最簡(jiǎn)單的VHDL程序。通常,最簡(jiǎn)單的VHDL程序結(jié)構(gòu)中還包含另一個(gè)最重要的部分,即庫(kù)(LIBRARY)和程序包(PACKAGE)。
2020-04-23 15:43:384224

甲機(jī)通過(guò)串口控制乙機(jī)LED閃爍的仿真程序和電路圖免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是甲機(jī)通過(guò)串口控制乙機(jī)LED閃爍的仿真程序和電路圖免費(fèi)下載。
2020-05-26 16:56:4321

使用定時(shí)器控制LED的亮滅時(shí)長(zhǎng)keil程序和Protues仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用定時(shí)器控制LED的亮滅時(shí)長(zhǎng)keil程序和Protues仿真資料免費(fèi)下載。
2020-05-28 08:00:0013

K1-K4鍵分組控制LED程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是K1-K4鍵分組控制LED程序仿真資料免費(fèi)下載。
2020-05-29 12:03:2211

K1-K4鍵控制LED移位的程序和電路圖及仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是K1-K4鍵控制LED移位的程序和電路圖及仿真資料免費(fèi)下載。
2020-05-29 12:03:156

使用單片機(jī)實(shí)現(xiàn)開(kāi)關(guān)控制LED仿真資料和程序免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實(shí)現(xiàn)開(kāi)關(guān)控制LED仿真資料和程序免費(fèi)下載。
2020-06-01 14:18:005

使用單片機(jī)實(shí)現(xiàn)4X4鍵盤(pán)矩陣控制條形LED顯示的程序仿真資料免費(fèi)下載

  本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實(shí)現(xiàn)4X4鍵盤(pán)矩陣控制條形LED顯示的程序仿真資料免費(fèi)下載。
2020-06-01 14:18:3922

單片機(jī)INT0和INT1控制條形LED程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是單片機(jī)INT0和INT1控制條形LED程序仿真資料免費(fèi)下載。
2020-06-04 17:58:178

單片機(jī)TIMER0控制單只LED閃爍的程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是單片機(jī)TIMER0控制單只LED閃爍的程序仿真資料免費(fèi)下載。
2020-06-05 16:52:023

單片機(jī)實(shí)驗(yàn)INT0中斷控制LED程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是單片機(jī)實(shí)驗(yàn)INT0中斷控制LED程序仿真資料免費(fèi)下載。
2020-06-05 16:53:192

FSK的調(diào)制與解調(diào)的VHDL程序仿真說(shuō)明

本文檔的主要內(nèi)容詳細(xì)介紹的是FSK的調(diào)制與解調(diào)的VHDL程序仿真說(shuō)明。
2020-12-18 16:44:0023

CPSK調(diào)制的VHDL程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是CPSK調(diào)制的VHDL程序仿真資料免費(fèi)下載。
2020-12-18 16:44:168

4個(gè)URAT VHDL程序仿真的資料合集

本文檔的主要內(nèi)容詳細(xì)介紹的是4個(gè)URAT VHDL程序仿真的資料合集包括了:1. 頂層程序仿真,2. 波特率發(fā)生器程序仿真,3. UART發(fā)送器程序仿真,4. UART接收器程序仿真
2020-12-18 16:44:176

電梯控制系統(tǒng)的VHDL程序仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是電梯控制系統(tǒng)的VHDL程序仿真免費(fèi)下載。
2020-12-18 16:46:0032

使用FPGA實(shí)現(xiàn)出租車(chē)計(jì)價(jià)器的VHDL程序仿真的資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是出租車(chē)計(jì)價(jià)器的VHDL程序仿真的資料免費(fèi)下載。
2020-12-21 17:10:5814

使用FPGA實(shí)現(xiàn)自動(dòng)售貨機(jī)的VHDL程序仿真資料

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實(shí)現(xiàn)自動(dòng)售貨機(jī)的VHDL程序仿真資料。
2020-12-21 17:10:0023

使用FPGA實(shí)現(xiàn)自動(dòng)售貨機(jī)的VHDL程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實(shí)現(xiàn)自動(dòng)售貨機(jī)的VHDL程序仿真資料免費(fèi)下載。
2020-12-22 17:07:0015

27個(gè)FPGA實(shí)例源代碼合集

本文檔的主要內(nèi)容詳細(xì)介紹的是27個(gè)FPGA實(shí)例源代碼合集包括了:頻率計(jì)程序設(shè)計(jì)與仿真LED控制VHDL程序仿真,LED控制VHDL程序仿真,基帶碼發(fā)生器程序設(shè)計(jì)與仿真,出租車(chē)計(jì)價(jià)器VHDL程序仿真,步進(jìn)電機(jī)定位控制系統(tǒng)VHDL程序仿真等等
2021-01-14 16:26:4866

出租車(chē)計(jì)價(jià)器的VHDL程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是出租車(chē)計(jì)價(jià)器的VHDL程序仿真資料免費(fèi)下載。
2021-01-18 17:17:279

使用FPGA實(shí)現(xiàn)LCD控制VHDL程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實(shí)現(xiàn)LCD控制VHDL程序仿真資料免費(fèi)下載。
2021-01-18 17:19:0810

使用FPGA實(shí)現(xiàn)LED控制VHDL程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實(shí)現(xiàn)LED控制VHDL程序仿真資料免費(fèi)下載。
2021-01-18 17:32:4612

TLC5510的VHDL程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是TLC5510的VHDL程序仿真資料免費(fèi)下載。
2021-01-19 14:00:0813

DAC0832接口電路的VHDL程序仿真免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是DAC0832接口電路的VHDL程序仿真免費(fèi)下載。
2021-01-19 14:00:007

TLC7524接口電路的VHDL程序仿真免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是TLC7524接口電路的VHDL程序仿真免費(fèi)下載。
2021-01-19 14:00:104

URAT VHDL程序仿真詳細(xì)資料說(shuō)明

本文檔的主要內(nèi)容詳細(xì)介紹的是URAT VHDL程序仿真詳細(xì)資料說(shuō)明。
2021-01-19 14:00:1411

基于VHDL硬件描述語(yǔ)言實(shí)現(xiàn)CPSK調(diào)制的程序仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是基于VHDL硬件描述語(yǔ)言實(shí)現(xiàn)CPSK調(diào)制的程序仿真。
2021-01-19 14:34:1511

使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶信號(hào)的MASK調(diào)制的程序仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶信號(hào)的MASK調(diào)制的程序仿真
2021-01-19 14:34:1713

使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶信號(hào)的MFSK調(diào)制的程序仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶信號(hào)的MFSK調(diào)制的程序仿真。
2021-01-19 14:34:194

數(shù)字頻率計(jì)VHDL程序仿真的設(shè)計(jì)資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是數(shù)字頻率計(jì)VHDL程序仿真的設(shè)計(jì)資料免費(fèi)下載。
2021-01-20 13:44:1828

使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶碼發(fā)生器的程序設(shè)計(jì)與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶碼發(fā)生器的程序設(shè)計(jì)與仿真免費(fèi)下載。
2021-01-20 13:44:1611

電子時(shí)鐘VHDL程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是電子時(shí)鐘VHDL程序仿真資料免費(fèi)下載。
2021-01-20 13:44:1435

電梯控制器的VHDL程序仿真資料合集

本文檔的主要內(nèi)容詳細(xì)介紹的是電梯控制器的VHDL程序仿真資料合集免費(fèi)下載。
2021-01-20 13:44:1111

自動(dòng)售貨機(jī)的VHDL程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是自動(dòng)售貨機(jī)的VHDL程序仿真資料免費(fèi)下載
2021-01-20 14:26:0016

步進(jìn)電機(jī)定位控制系統(tǒng)的VHDL程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是步進(jìn)電機(jī)定位控制系統(tǒng)的VHDL程序仿真資料免費(fèi)下載。
2021-01-20 14:26:0215

多功能波形發(fā)生器的VHDL程序仿真資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是多功能波形發(fā)生器的VHDL程序仿真資料免費(fèi)下載。
2021-01-20 14:26:008

如何建立VHDL程序仿真模型和平臺(tái)及仿真過(guò)程詳細(xì)說(shuō)明

 前面已經(jīng)講述了VHDL語(yǔ)法和建模,VHDL程序作為硬件的描述語(yǔ)言,可以實(shí)現(xiàn)仿真測(cè)試,包括RTL門(mén)級(jí)仿真和布線布局后仿真。通過(guò)仿真,可以很容易驗(yàn)證VHDL程序以及其描述硬件的正確性。本章將講述如何建立VHDL程序仿真模型和平臺(tái),以及ⅤHDL語(yǔ)言的具體仿真過(guò)程
2021-01-20 17:03:5414

VHDL TFT LCD屏控制器的MCU程序免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL TFT LCD屏控制器的MCU程序免費(fèi)下載。
2021-01-20 17:03:5010

VHDL TFT LCD屏控制器的CPLD程序免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL TFT LCD屏控制器的CPLD程序免費(fèi)下載。
2021-01-20 17:03:493

撥碼開(kāi)關(guān)控制LED程序仿真電路免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是撥碼開(kāi)關(guān)控制LED程序仿真電路免費(fèi)下載。
2021-02-26 15:44:0311

Proteus使用教程并仿真51程序——LED流水燈

Proteus使用教程并仿真51程序——LED流水燈
2021-11-15 15:21:038

51單片機(jī)PWM控制LED的亮度仿真程序圖譜 (附仿真文件+源代碼)

51單片機(jī)PWM控制LED的亮度仿真程序圖譜單片機(jī)輸出PWM斬波,控制LED的亮度并在圖譜上面顯示出來(lái)仿真開(kāi)啟參考代碼:#include “reg51.h”unsigned int cd,dd
2021-11-22 17:06:0649

在PSIM中進(jìn)行VHDL的聯(lián)合仿真

目前市面上能支持HDL語(yǔ)言聯(lián)合仿真的電源仿真軟件并不多,能支持VHDL聯(lián)合仿真的就更少了,PSIM軟件支持VHDL及verilogHDL聯(lián)合仿真,這樣對(duì)于快速驗(yàn)證HDL實(shí)現(xiàn)的新想法是十分便捷的。
2023-05-23 11:38:101714

記錄VCS仿真的IP核只有VHDL文件的解決方法

使用VCS仿真Vivado里面的IP核時(shí),如果Vivado的IP核的仿真文件只有VHDL時(shí),仿真將變得有些困難,VCS不能直接仿真VHDL
2023-06-06 11:15:351328

已全部加載完成