電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>基于FPGA的多信道頻率檢測(cè)技術(shù)實(shí)現(xiàn)

基于FPGA的多信道頻率檢測(cè)技術(shù)實(shí)現(xiàn)

12下一頁(yè)全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

FPGA實(shí)現(xiàn)直接數(shù)字頻率合成(DDS)的原理、電路結(jié)構(gòu)和優(yōu)化...

FPGA實(shí)現(xiàn)直接數(shù)字頻率合成(DDS)的原理、電路結(jié)構(gòu)和優(yōu)化方法介紹了利用現(xiàn)場(chǎng)可編程邏輯門陣列FPGA實(shí)現(xiàn)直接數(shù)字頻率合成(DDS)的原理、電路結(jié)構(gòu)和優(yōu)化方法。重點(diǎn)介紹了DDS技術(shù)FPGA中的實(shí)現(xiàn)
2012-08-11 18:10:11

FPGA為什么是實(shí)現(xiàn)綠色搜索技術(shù)的關(guān)鍵?

FPGA為什么是實(shí)現(xiàn)綠色搜索技術(shù)的關(guān)鍵?
2021-05-08 07:47:03

信道無(wú)線測(cè)試配置常用技術(shù)

移動(dòng)通信系統(tǒng)的連接性和峰值數(shù)據(jù)速率。多天線技術(shù)可增加發(fā)射器、接收器或兩者的天線數(shù)量,是提高系統(tǒng)容量的關(guān)鍵技術(shù),目前已被應(yīng)用于無(wú)線局域網(wǎng)絡(luò)(WLAN)標(biāo)準(zhǔn),如802.11n和802.11ac。藉由部署多天線技術(shù),業(yè)者可實(shí)現(xiàn)更出色的頻譜效率和更高的峰值數(shù)據(jù)速率。以下為目前常用的技術(shù)
2019-06-10 07:17:09

實(shí)現(xiàn)FPGA數(shù)字下變頻的類濾波器分組級(jí)聯(lián)技術(shù)分析

實(shí)現(xiàn)FPGA數(shù)字下變頻的類濾波器分組級(jí)聯(lián)技術(shù)分析1 引 言 本文針對(duì)以下高效算法做了總結(jié),進(jìn)行合理的分組級(jí)聯(lián)并引入流水線技術(shù)以便于在FPGA實(shí)現(xiàn)。數(shù)字下變頻(DDC)就是通過(guò)混頻、抽取和濾波等
2009-10-23 10:26:53

IEEE 802.16無(wú)線信道快速突發(fā)均衡的實(shí)現(xiàn)

接入(Broadband Wireless Access,BWA)在無(wú)線信道上提供高速數(shù)據(jù)業(yè)務(wù),通常采用點(diǎn)到多點(diǎn)的頻分雙工模式,上行為時(shí)分技術(shù),下行為時(shí)分多路復(fù)用。下行調(diào)制方式為QPSK-64QAM
2009-06-17 09:50:46

MIMO入多出技術(shù)

。在無(wú)線通信領(lǐng)域中,這種利用多天線來(lái)抑制信道衰落,提升信道容量的智能天線技術(shù)具有相當(dāng)重要的意義。MIMO技術(shù)優(yōu)點(diǎn):(1)最顯著的就是提高信道的容量(2)無(wú)線傳輸更快速(3)增加無(wú)線信號(hào)的傳輸距離(4)使
2017-07-11 11:56:42

McWiLL技術(shù)對(duì)無(wú)線基站信道資源的分配原則

的矛盾,故要面臨路徑損耗和鏈路預(yù)算、徑傳輸、信道間相互干擾等主要問(wèn)題,這就不可避免的涉及到了信道資源的問(wèn)題。 大慶油田采用了基于SCDMA的McWill無(wú)線寬帶通信技術(shù),而iSWAN1800型基站
2012-12-27 17:29:26

OFDM 的DFT實(shí)現(xiàn)原理

波形間的干擾。適用于徑環(huán)境和衰落信道中的高速數(shù)據(jù)傳輸。當(dāng)信道中因?yàn)?b class="flag-6" style="color: red">多徑傳輸而出現(xiàn)頻率選擇性衰落時(shí),只有落在頻帶凹陷處的子載波以及其攜帶的信息受影響,其他的子載波未受損害,因此系統(tǒng)總的誤碼率性能要好
2009-06-15 07:58:34

OFDM傳輸技術(shù)在水聲通信系統(tǒng)的應(yīng)用

DivisionMultiplexing,OFDM)技術(shù)是一種多載波調(diào)制技術(shù),它將寬帶信道分解為相互正交的一組窄帶子信道,利用各個(gè)子信道進(jìn)行并行數(shù)據(jù)傳輸,因此其頻譜利用率高、抗徑衰落能力強(qiáng)。目前已經(jīng)在數(shù)字視頻廣播
2019-07-05 06:26:46

OFDM幾種址接入技術(shù)的分析

,可用帶寬資源可靈活地在不同移動(dòng)終端之間共享,從而避免了不同用戶間的址干擾。每個(gè)用戶經(jīng)歷不同無(wú)線信道的干擾,可以通過(guò)只將具有高信躁比的子載波分配給每個(gè)用戶來(lái)實(shí)現(xiàn)。這是一種以頻率來(lái)區(qū)分用戶的址接入方式
2015-08-01 11:16:35

OFDM水聲通信信道估計(jì)技術(shù)研究

的方式來(lái)分析不同導(dǎo)頻圖案下信道估計(jì)的性能?! £P(guān)鍵詞: 正交頻分復(fù)用; 信道估計(jì); 導(dǎo)頻   水聲信道是一個(gè)典型的時(shí)變多徑衰落信道,高速可靠的水聲通信受到徑反射、多普勒頻率偏移和有限帶寬等多種不利條件
2009-09-19 09:28:26

si4463的RSSI信道空閑檢測(cè)問(wèn)題

誰(shuí)有做過(guò)si4463的RSSI信道空閑檢測(cè)的方案,或者相關(guān)的無(wú)線模塊的信道空閑檢測(cè),求教?。。。?/div>
2015-10-13 17:13:38

FPGA參賽作品】基于fpga 的電梯異常檢測(cè)實(shí)現(xiàn)

利用xinlix FPGA開(kāi)發(fā)板 ,實(shí)現(xiàn)電梯的異常檢測(cè)實(shí)現(xiàn)。。能檢測(cè)到電梯的多種異常 ,并通過(guò)FPGA控制電梯模型,來(lái)模擬電梯異常的檢測(cè)。 想問(wèn)一下 , 現(xiàn)在還能參加嗎 ? 因?yàn)楝F(xiàn)在才注意到有這個(gè)比賽。。。。謝謝。。。。。。
2012-07-05 02:13:46

一種基于FPGA通道頻率測(cè)量系統(tǒng)的實(shí)現(xiàn)方法介紹

設(shè)計(jì)了一種通道頻率測(cè)量系統(tǒng)。系統(tǒng)由模擬開(kāi)關(guān)、信號(hào)調(diào)理電路、FPGA、總線驅(qū)動(dòng)電路構(gòu)成,實(shí)現(xiàn)對(duì)頻率信號(hào)的分壓、放大、濾波、比較、測(cè)量,具備回路自測(cè)試功能,可與主設(shè)備進(jìn)行數(shù)據(jù)交互,具有精度高、可擴(kuò)展
2019-06-27 07:23:11

中科院專家談:5G關(guān)鍵技術(shù)——FPGA無(wú)線通信軟件開(kāi)發(fā)(資料+福利)

址:http://t.elecfans.com/live/978.html分享時(shí)間:2019.10.22 19:50直播主題簡(jiǎn)介及亮點(diǎn)OFDM是一種非常適合于在頻率選擇性信道傳輸?shù)乃惴?其實(shí)現(xiàn)雜度也相對(duì)
2019-10-21 18:30:29

使用Z-STACK,我可以同時(shí)開(kāi)啟信道嗎?

1.使用Z-STACK,我可以同時(shí)開(kāi)啟信道嗎?比如我-DDEFAULT_CHANLIST=0x00001800,同時(shí)將11和12信道開(kāi)啟?2.我有協(xié)調(diào)器A,信道僅為11,終端設(shè)備B信道僅為11
2020-08-06 07:17:51

使用Zigbee Z-Stack,可以同時(shí)開(kāi)啟信道嗎?

使用Zigbee Z-Stack,可以同時(shí)開(kāi)啟信道嗎?1.Zigbee使用Z-Stack,我可以同時(shí)開(kāi)啟信道嗎?比如我-DDEFAULT_CHANLIST=0x00001800,同時(shí)將11和12
2016-04-06 13:43:45

基于FPGA通道頻率檢測(cè)方法是什么?

,其頻率截獲概率與分辨力的矛盾難以解決,無(wú)法實(shí)現(xiàn)全概率信號(hào)截獲。而信道化的頻率檢測(cè)技術(shù)屬于瞬時(shí)測(cè)頻,其架構(gòu)是采用多個(gè)頻率窗口(多個(gè)信道彼此銜接相鄰)來(lái)覆蓋接收機(jī)的整個(gè)頻段,這樣,當(dāng)信號(hào)進(jìn)入任一個(gè)窗口
2019-09-19 07:39:03

基于FPGA的Sobel邊緣檢測(cè)實(shí)現(xiàn)

我們?cè)诖嘶A(chǔ)上修改,從而實(shí)現(xiàn),基于FPGA的動(dòng)態(tài)圖片的Sobel邊緣檢測(cè)、中值濾波、Canny算子邊緣檢測(cè)、腐蝕和膨脹等。那么這篇文章我們將來(lái)實(shí)現(xiàn)基于FPGA的Sobel邊緣檢測(cè)。圖像邊緣:簡(jiǎn)言之,邊緣
2017-08-29 15:41:12

基于FPGA的交織編碼技術(shù)研究及實(shí)現(xiàn)

26期摘  要:對(duì)于采用信道編碼技術(shù)進(jìn)行糾錯(cuò)的系統(tǒng),只能糾正隨機(jī)錯(cuò)誤,無(wú)法解決突發(fā)錯(cuò)誤的問(wèn)題。詳細(xì)闡述了一種基于漢明碼的交織編碼技術(shù),并以FPGA為平臺(tái)進(jìn)行了實(shí)現(xiàn)與仿真。仿真結(jié)果表明該交織編碼技術(shù)可以
2018-05-11 14:09:54

基于FPGA的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

基于FPGA的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)摘  要:利用等精度測(cè)量原理,通過(guò)FPGA運(yùn)用VHDL編程設(shè)計(jì)一個(gè)數(shù)字式頻率計(jì),精度范圍在DC~100&nbsp
2008-08-19 09:46:02

基于BiSAR技術(shù)信道測(cè)量方法的理論基礎(chǔ)

標(biāo)準(zhǔn)規(guī)范、對(duì)非靜態(tài)和可視稀疏信道特性的特征,列車間(train-to-train,T2T)通信的實(shí)現(xiàn)以及 5G 技術(shù)中的 HSR MIMO 研究。高速鐵路大規(guī)模的布局和運(yùn)行以來(lái),吸引了眾多研究者的興趣
2018-03-23 11:08:29

基于DSP和FPGA技術(shù)的低信噪比雷達(dá)信號(hào)檢測(cè)

脈沖信號(hào),常用的工作頻率范圍為2~18 GHz,脈沖持續(xù)時(shí)間在幾十納秒到幾百微秒。假設(shè)檢測(cè)信號(hào)脈寬為150 ns,根據(jù)奈奎斯特采樣原理,必須選用高速的A/D轉(zhuǎn)換器才不使信號(hào)丟失,實(shí)際實(shí)現(xiàn)需盡可能地
2018-08-15 09:43:14

基于欠采樣的單頻率估計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

環(huán)境的復(fù)雜化和信道密集化的特點(diǎn),數(shù)字接收機(jī)需要具備在較寬范圍內(nèi)快速鎖定通信頻率的能力,當(dāng)前對(duì)鎖頻的解決辦法一般使用窄帶拼接技術(shù)或者直采推算的方法。窄帶拼接是通過(guò)將接收到的高頻拆分成多個(gè)中頻窄帶信號(hào),通過(guò)
2018-07-31 10:24:36

多用戶檢測(cè)技術(shù)

多用戶檢測(cè)技術(shù)多用戶通信系統(tǒng)廣播網(wǎng):一部發(fā)射機(jī)發(fā)送信息到多個(gè)接收機(jī)。存貯轉(zhuǎn)發(fā)網(wǎng)絡(luò):每個(gè)成員都具有存儲(chǔ)和轉(zhuǎn)發(fā)功能址系統(tǒng):系統(tǒng)中大量用戶共用通信信道以傳送信息到接收機(jī)。對(duì)于址系統(tǒng),又分為頻分多址
2009-06-15 09:15:07

如何實(shí)現(xiàn)基于多相濾波的數(shù)字接收機(jī)的FPGA?

、較高的靈敏度、大的動(dòng)態(tài)范圍,能夠檢測(cè)和處理同時(shí)到達(dá)的信號(hào)、準(zhǔn)確的參數(shù)測(cè)量能力和一定的信號(hào)識(shí)別能力。直接信道化接收機(jī)的運(yùn)算量大且輸出速率與采樣速率相同,實(shí)現(xiàn)困難,后續(xù)處理的壓力很大,高速ADC與慢速信號(hào)
2019-08-22 08:01:34

如何使用FLEX10K系列FPGA來(lái)實(shí)現(xiàn)信道編碼的功能?

本文主要討論使用FLEX10K系列FPGA來(lái)實(shí)現(xiàn)信道編碼的功能。
2021-06-07 06:00:58

如何利用FPGA實(shí)現(xiàn)Laplacian圖像邊緣檢測(cè)器的研究?

基于專用單片機(jī)來(lái)實(shí)現(xiàn)(一般稱為可編程DSP單片機(jī))以及在VLSI上實(shí)現(xiàn)某種算法的專用集成電路芯片(ASIC)等。近年來(lái),隨著EDA技術(shù)的迅速發(fā)展,國(guó)內(nèi)外逐漸比較流行的是在FPGA實(shí)現(xiàn)復(fù)雜算法的運(yùn)算處理。在
2019-07-31 06:38:07

如何利用FPGA實(shí)現(xiàn)低成本汽車總線橋接?

如何利用FPGA實(shí)現(xiàn)低成本汽車總線橋接?
2021-04-29 06:51:23

如何利用FPGA技術(shù)設(shè)計(jì)按鍵狀態(tài)識(shí)別系統(tǒng)?

;另一種是掃描陣列方式,適用于大量按鍵,但不能鍵同時(shí)動(dòng)作。因此,需要開(kāi)發(fā)一種既適合大量按鍵又適合鍵同時(shí)動(dòng)作,并能節(jié)省單片機(jī)(MCU)的口線資源的按鍵狀態(tài)識(shí)別系統(tǒng)。利用FPGA技術(shù)設(shè)計(jì)按鍵狀態(tài)識(shí)別系統(tǒng)可以實(shí)現(xiàn)識(shí)別60個(gè)按鍵自由操作,并簡(jiǎn)化MCU的控制信號(hào),但具體該怎么做呢?
2019-08-02 06:21:32

如何利用Freeze技術(shù)FPGA實(shí)現(xiàn)低功耗設(shè)計(jì)?

如何利用Freeze技術(shù)FPGA實(shí)現(xiàn)低功耗設(shè)計(jì)?
2021-04-29 06:27:52

如何利用現(xiàn)場(chǎng)可編程邏輯門陣列FPGA實(shí)現(xiàn)實(shí)現(xiàn)DDS技術(shù)?

介紹了利用現(xiàn)場(chǎng)可編程邏輯門陣列FPGA實(shí)現(xiàn)直接數(shù)字頻率合成(DDS)的原理、電路結(jié)構(gòu)和優(yōu)化方法。重點(diǎn)介紹了DDS技術(shù)FPGA中的實(shí)現(xiàn)方法,給出了采用ALTERA公司的ACEX系列FPGA芯片EP1K30TC進(jìn)行直接數(shù)字頻率合成的VHDL源程序。
2021-04-30 06:29:00

如何確定Spartan 6 SesDes通道的信道頻率損耗?

當(dāng)使用Spartan-6 FPGA GTP Transveivers時(shí),數(shù)據(jù)速率為1.25Gbps時(shí),發(fā)送器和接收器之間的信道頻率有多大的損耗?以上來(lái)自于谷歌翻譯以下為原文When using
2019-07-02 09:16:58

寬帶短波信道模擬器設(shè)計(jì)

( DDC) 技術(shù)。所以數(shù)字下變頻技術(shù)在寬帶短波信道模擬器的數(shù)字化和軟件化過(guò)程中起到了重要的作用。FPGA 具有較高的處理速度和很強(qiáng)的穩(wěn)定性,而且設(shè)計(jì)靈活、易于修改和維護(hù),同時(shí)可以根據(jù)不同的系統(tǒng)要求,采用不同的結(jié)構(gòu)來(lái)完成相應(yīng)的功能,大大提高系統(tǒng)的適用性及可擴(kuò)展性。因此,FPGA 逐漸成為實(shí)現(xiàn)DDC 的首選。
2019-07-22 06:27:47

怎么實(shí)現(xiàn)一個(gè)電子標(biāo)簽頻率檢測(cè)

這個(gè)電路圖可以實(shí)現(xiàn)8.2Mhz的標(biāo)簽的檢測(cè),就是當(dāng)標(biāo)簽放入有L1,L2組成的2個(gè)線圈的時(shí)候,會(huì)在左邊輸出這個(gè)標(biāo)簽的頻率,但是這個(gè)只能檢測(cè)8.2M及略高或略低的頻率,如果要實(shí)現(xiàn)檢測(cè)幾十Khz的怎么做,或者其他方式也可以,
2016-07-21 23:21:12

怎么實(shí)現(xiàn)基于FPGA技術(shù)的智能導(dǎo)盲犬設(shè)計(jì)?

怎么實(shí)現(xiàn)基于FPGA技術(shù)的智能導(dǎo)盲犬設(shè)計(jì)?
2021-05-08 07:37:31

怎么實(shí)現(xiàn)基于FPGA的無(wú)線信道模擬器的設(shè)計(jì)?

怎么實(shí)現(xiàn)基于FPGA的無(wú)線信道模擬器的設(shè)計(jì)?
2021-05-25 06:09:47

怎么如何實(shí)現(xiàn)FPGA互連

數(shù)據(jù)通信。但是,時(shí)鐘和控制數(shù)據(jù)很難處理。是否有任何相關(guān)文件用于FPGA通信?我在Xilinx官方網(wǎng)站上搜索,但一無(wú)所獲。以上來(lái)自于谷歌翻譯以下為原文In my project
2019-05-24 10:21:47

求一種數(shù)字信道化IFM接收機(jī)的高效實(shí)現(xiàn)方案

本文提出的一種數(shù)字信道化IFM接收機(jī)方案結(jié)合了數(shù)字信道化接收機(jī)高效結(jié)構(gòu)和相位差分瞬時(shí)測(cè)頻方法,從而降低了系統(tǒng)復(fù)雜度,提高了實(shí)時(shí)處理能力,仿真結(jié)果表明該方案具有較好的信號(hào)檢測(cè)能力。用現(xiàn)代技術(shù)來(lái)實(shí)現(xiàn)寬帶
2021-02-23 07:05:58

用AD5933做電導(dǎo)儀為什么檢測(cè)液體電阻采用了頻多點(diǎn)檢測(cè)?

請(qǐng)教各位老師:本人擬用AD5933做凈水機(jī)在線電導(dǎo)儀,有幾個(gè)問(wèn)題求教各位老師:1、為什么AD5933檢測(cè)液體電阻采用了頻多點(diǎn)檢測(cè),固定頻率檢測(cè)為什么不行?2、是用什么方法在頻多點(diǎn)檢測(cè)的不同頻率的多個(gè)數(shù)據(jù)中,整理出我們需要的正確的數(shù)據(jù)?謝謝各位不吝賜教。@
2018-09-19 10:26:51

請(qǐng)問(wèn)ZIGBEE 2.4G 每個(gè)信道具體的頻率是多少?比如11信道頻率是2.4幾G?

本帖最后由 一只耳朵怪 于 2018-6-4 10:03 編輯 你好!? ? ? 我們要測(cè)試板子的功率,所以我們想知道ZIGBEE 2.4G 中每個(gè)信道具體的頻率是多少?比如11信道頻率是2.4幾G謝謝!
2018-06-01 01:06:28

請(qǐng)問(wèn)在Zstack協(xié)議棧中如何實(shí)現(xiàn)CC2530主動(dòng)調(diào)頻(信道通信)?

Zstack協(xié)議棧中CC2530 內(nèi)置的2.4G頻段有16個(gè)信道,由于科研需要,在實(shí)際設(shè)置過(guò)程中,我需要實(shí)現(xiàn)信道通信。比如說(shuō):規(guī)定好5個(gè)信道,然后協(xié)調(diào)器能夠根據(jù)這五個(gè)信道周期性的變換,其他加入該網(wǎng)絡(luò)的節(jié)點(diǎn)也能夠跟著周期性變化,實(shí)現(xiàn)信道通信。
2018-08-10 09:53:22

請(qǐng)問(wèn)怎么在單片機(jī)內(nèi)改變CC1101的信道?

如題,周圍可能有很多同頻率的cc1101設(shè)備。想通過(guò)檢測(cè)CCA,發(fā)現(xiàn)同信道太擁擠就跳頻換信道,請(qǐng)問(wèn)怎么在單片機(jī)內(nèi)實(shí)現(xiàn)?
2020-03-18 20:27:09

采用FPGA實(shí)現(xiàn)直接數(shù)字頻率合成器設(shè)計(jì)

西安交通大學(xué)電信學(xué)院 周俊峰 陳濤摘要:介紹了Altera公司的即FPGA器件ACEXEPlK50的主要特點(diǎn),給出了由ACEXEPlK50實(shí)現(xiàn)直接數(shù)字頻率合成的工作原理、設(shè)計(jì)思路、電路結(jié)構(gòu)和改進(jìn)優(yōu)化
2019-06-18 06:05:34

基于DSP實(shí)現(xiàn)WCDMA信道估計(jì)

        信道估計(jì)是RAKE 接收機(jī)的關(guān)鍵技術(shù),WCDMA 中可采用時(shí)分復(fù)用導(dǎo)頻完成,給出了用DSP 的具體實(shí)現(xiàn)方案。關(guān)鍵詞:DSP;信道估計(jì);WCDMA   
2009-09-15 09:36:2328

基于FPGA的星地信道模擬系統(tǒng)的研究與設(shè)計(jì)

衛(wèi)星信道復(fù)雜多變的特性嚴(yán)重的影響了衛(wèi)星通信系統(tǒng)的性能,能夠模擬信道特性的信道模擬系統(tǒng)可以作為衛(wèi)星通信技術(shù)研究的有力工具。本文首先設(shè)計(jì)出符合星地鏈路實(shí)際情況的仿
2009-09-17 10:10:0022

基于PLD及FPGA頻率與相位測(cè)量系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn)

基于PLD及FPGA頻率與相位測(cè)量系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn):摘 要:本測(cè)量系統(tǒng)由頻率相位測(cè)量?jī)x和DDS 雙路移相信號(hào)發(fā)生器兩部分組成。頻率相位測(cè)量由Altera EPM7128S84 CPLD 完成,雙路移相信號(hào)
2009-09-25 15:50:0330

多相濾波器組信道化接收機(jī)的FPGA仿真實(shí)現(xiàn)

多相濾波器組信道化接收機(jī)的FPGA 仿真實(shí)現(xiàn)趙偉 王靜 李偉偉(大連海事大學(xué) 信息工程學(xué)院 遼寧 大連 116026)摘要:軟件無(wú)線電理論中的信道化接收機(jī)理論在多信號(hào)同時(shí)提取
2009-12-15 15:04:5133

基于FPGA信道化接收機(jī)

針對(duì)寬帶陣列偵收系統(tǒng),設(shè)計(jì)一種基于FPGA信道化接收機(jī)實(shí)現(xiàn)方案,并對(duì)各模塊具體的實(shí)現(xiàn)進(jìn)行了分析、設(shè)計(jì),特別是基于FPGA信道化模塊。整個(gè)系統(tǒng)具有子信道頻帶窄、利于對(duì)信
2010-07-21 15:59:5918

基于單片機(jī)和FPGA頻率特性測(cè)試儀

摘要:介紹基于89S51單片機(jī)和FPGA頻率特性測(cè)試儀的設(shè)計(jì)。該系統(tǒng)設(shè)計(jì)利用DDS原理由FPGA經(jīng)D/A轉(zhuǎn)換產(chǎn)生掃頻信號(hào),再經(jīng)待測(cè)網(wǎng)絡(luò)實(shí)現(xiàn)峰值檢測(cè)和相位檢測(cè),從而完成了待測(cè)網(wǎng)絡(luò)幅頻和
2010-12-19 23:01:4155

Laplacian圖像邊緣檢測(cè)器的FPGA實(shí)現(xiàn)

介紹了Laplacian邊緣檢測(cè)算法模型,邊緣檢測(cè)工作流程,分布式運(yùn)算原理,闡述了用FPGA實(shí)現(xiàn)的一個(gè)Lapla鄄cian圖像邊緣檢測(cè)器的設(shè)計(jì),包括系統(tǒng)總體設(shè)計(jì),主要模塊的設(shè)計(jì)思想和系統(tǒng)仿真
2010-12-24 10:34:0932

通道PWM輸出,基于FPGA設(shè)計(jì) 可擴(kuò)展任意頻率

FPGA設(shè)計(jì)通道總線/接口技術(shù)
奔跑的小鑫發(fā)布于 2022-09-27 20:45:48

基于FPGA的直接數(shù)字頻率合成技術(shù)設(shè)計(jì)

摘要: 介紹了利用現(xiàn)場(chǎng)可編程邏輯門陣列FPGA實(shí)現(xiàn)直接數(shù)字頻率合成(DDS)的原理、電路結(jié)構(gòu)和優(yōu)化方法。重點(diǎn)介紹了DDS技術(shù)FPGA中的實(shí)現(xiàn)方法,給出了采用ALTERA公
2009-06-20 14:14:36670

基于FPGA的星地信道模擬系統(tǒng)的研究與設(shè)計(jì)

基于FPGA的星地信道模擬系統(tǒng)的研究與設(shè)計(jì)  1 引 言   衛(wèi)星移動(dòng)通信系統(tǒng)所能提供的業(yè)務(wù)的可行性與質(zhì)量在很大程度上受到衛(wèi)星與移動(dòng)終端
2010-03-10 11:49:28546

光纖信道,光纖信道原理是什么?

光纖信道,光纖信道原理是什么? Fibre Channel:光纖信道,簡(jiǎn)寫為FC。它是一項(xiàng)網(wǎng)絡(luò)技術(shù),通過(guò)光纖實(shí)現(xiàn)的一種基于塊的數(shù)據(jù)流傳輸方式,傳輸率可達(dá)1Gb
2010-03-20 09:41:352608

FPGA教程之頻率簡(jiǎn)介

FPGA教程之頻率簡(jiǎn)介 每個(gè)CPU都有一個(gè)工作頻率,FPGA也不例外(當(dāng)然,只有你的設(shè)計(jì)應(yīng)該是時(shí)序邏輯),那該頻率
2010-03-24 10:41:034286

寬帶數(shù)字信道化接收機(jī)的FPGA實(shí)現(xiàn)

為解決現(xiàn)代電子戰(zhàn)對(duì)接收機(jī)處理帶寬寬、靈敏度高及實(shí)時(shí)性處理的要求,提出一種數(shù)字信道化接收機(jī)的設(shè)計(jì)方法。在推導(dǎo)高效信道化接收機(jī)模型的基礎(chǔ)上,采用多相濾波器結(jié)構(gòu)實(shí)現(xiàn)的數(shù)字信道化接收機(jī)。該接收機(jī)利用超高速A/D對(duì)數(shù)據(jù)進(jìn)行高速采樣,然后由高性能FPGA進(jìn)行
2011-03-07 15:28:3361

WCDMA下行專用物理信道FPGA實(shí)現(xiàn)

寬帶碼分多址(WCDMA) 下行專用物理信道是基站為每個(gè)發(fā)出業(yè)務(wù)請(qǐng)求的用戶分配的專用信道,該信道可以承載不同類型、速率的話音和數(shù)據(jù)業(yè)務(wù),并支持壓縮模式和多碼道傳輸,是下行 發(fā)射中
2011-05-13 18:48:3636

基于FPGA的數(shù)字音頻廣播信道編碼器的實(shí)現(xiàn)

介紹了數(shù)字音頻廣播(DAB) 信道編碼 的原理和關(guān)鍵技術(shù),并應(yīng)用單片F(xiàn)LEX10K100 系列FPGA 實(shí)現(xiàn)DAB 信道編碼器。
2011-07-18 17:04:0768

無(wú)線信道仿真和均衡器的FPGA設(shè)計(jì)與實(shí)現(xiàn)

無(wú)線信道仿真和均衡器的FPGA設(shè)計(jì)與實(shí)現(xiàn)
2011-10-09 18:11:3740

基于多相濾波的數(shù)字接收機(jī)的FPGA實(shí)現(xiàn)

基于多相濾波的信道化接收機(jī)抽取在濾波之前,運(yùn)算量小,且輸出速率低,便于FPGA實(shí)現(xiàn),這使得在 一片FPGA實(shí)現(xiàn)數(shù)字信道化成為可能。本文利用信道頻率重疊的方法連續(xù)覆蓋整個(gè)瞬時(shí)
2012-05-23 10:43:043538

基于FPGA的無(wú)線信道模擬器的設(shè)計(jì)

為了縮短研發(fā)周期,需要在實(shí)驗(yàn)室模擬出無(wú)線信道的各種傳播特性,無(wú)線信道模擬器設(shè)計(jì)必不可少。采用基于頻率選擇性信道Jakes仿真器模型,使用Xilinx公司的VIrtex-2p模擬實(shí)現(xiàn)頻率選擇
2012-07-02 13:50:062540

基于單片機(jī)與FPGA的等精度頻率測(cè)量和IDDS技術(shù)設(shè)計(jì)方案的實(shí)現(xiàn)

電子發(fā)燒友網(wǎng): 本系統(tǒng)利用單片機(jī)和FPGA有效的結(jié)合起來(lái)共同實(shí)現(xiàn)等精度頻率測(cè)量和IDDS技術(shù),發(fā)揮各自的優(yōu)點(diǎn),使設(shè)計(jì)變得更加容易和靈活,并具有頻率測(cè)量范圍寬、產(chǎn)生的波形頻率
2012-07-02 16:11:142475

基于FPGA的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

本文介紹了一種利用FPGA實(shí)現(xiàn)DC~100 MHz的自動(dòng)切換量程數(shù)字等精度頻率計(jì)的實(shí)現(xiàn)方法,并給出實(shí)現(xiàn)代碼。整個(gè)系統(tǒng)在研制的CPLD/FPGA實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)上調(diào)試通過(guò)。
2012-12-03 11:17:514746

數(shù)字圖像邊緣檢測(cè)FPGA實(shí)現(xiàn)

數(shù)字圖像邊緣檢測(cè)FPGA實(shí)現(xiàn)......
2016-01-04 15:31:5518

快衰落信道下修正的卡方_AD聯(lián)合檢測(cè)

快衰落信道下修正的卡方_AD聯(lián)合檢測(cè)_王海泉
2017-01-07 16:52:060

信道均衡技術(shù)與基于FPGA的自適應(yīng)均衡器的研究與設(shè)計(jì)

使用FPGA芯片和Verilog HDL設(shè)計(jì)實(shí)現(xiàn)了自適應(yīng)均衡器并仿真驗(yàn)證了新方法的有效性。 信道均衡技術(shù)(Channel equalization)是指為了提高衰落信道中的通信系統(tǒng)的傳輸性能而采取的一種抗衰落措施。它主要是減小信道的多徑時(shí)延帶來(lái)的碼間串?dāng)_
2017-10-26 10:24:5813

基于DFT多相濾波器組信道頻率檢測(cè)技術(shù)

,其頻率截獲概率與分辨力的矛盾難以解決,無(wú)法實(shí)現(xiàn)全概率信號(hào)截獲。而多信道化的頻率檢測(cè)技術(shù)屬于瞬時(shí)測(cè)頻,其架構(gòu)是采用多個(gè)頻率窗口(多個(gè)信道彼此銜接相鄰)來(lái)覆蓋接收機(jī)的整個(gè)頻段.
2017-11-18 10:51:175139

遙測(cè)同步和信道編碼系統(tǒng)及FPGA實(shí)現(xiàn)

針對(duì)日益復(fù)雜的地面測(cè)控需求,參照空間數(shù)據(jù)系統(tǒng)咨詢委員會(huì)( CCSDS)分包遙測(cè)標(biāo)準(zhǔn),在現(xiàn)場(chǎng)可編程門陣列( Field-Programmable G ate Array,FPGA)平臺(tái)上實(shí)現(xiàn)了一套高效
2018-01-09 15:36:391

LoRa之信道活動(dòng)檢測(cè)工作原理解析

信道活動(dòng)檢測(cè)關(guān)鍵:以盡可能高的的功率效率來(lái)檢測(cè)無(wú)線信道上的LoRa前導(dǎo)碼。。在CAD模式下,芯片會(huì)快速掃描頻段,以檢測(cè)是否有LoRa前導(dǎo)碼。
2018-04-27 15:27:007707

關(guān)于基于前導(dǎo)的OFDM系統(tǒng)信道估計(jì)及FPGA實(shí)現(xiàn)

分量的相互干擾,接收機(jī)必須根據(jù)信道的特性對(duì)其進(jìn)行補(bǔ)償。檢測(cè)的方法一般分為:相干檢測(cè)與差分檢測(cè)。相干檢測(cè)是通過(guò)信道估計(jì)得到OFDM符號(hào)子載波的絕對(duì)參考相位與幅度;差分檢測(cè)是比較相鄰信號(hào)的相位與幅度的差值
2018-06-22 14:51:006045

如何使用FPGA實(shí)現(xiàn)信道編碼

信道編碼發(fā)展迅速, 應(yīng)用越來(lái)越廣泛, 而信道編碼的好壞對(duì)眾多工程技術(shù)領(lǐng)域的發(fā)展有著重要影響,所以對(duì)信道編碼的研究工作有著非常重要的意義。本論文從闡述信道編碼理論出發(fā), 介紹了編碼理論的背景和其發(fā)展
2020-08-18 17:11:1011

如何使用FPGA實(shí)現(xiàn)高效的寬帶數(shù)字接收機(jī)

針對(duì)電子戰(zhàn)中的寬帶偵察數(shù)字信道化接收機(jī),提出了基于短時(shí)傅里葉變換的寬帶數(shù)字信道化接收機(jī)的改進(jìn)方法,給出了該方法的FPGA實(shí)現(xiàn)。該方法采用多相濾波結(jié)構(gòu),通過(guò)先對(duì)時(shí)域抽取信號(hào)進(jìn)行傅里葉變換,再對(duì)變換結(jié)果
2021-02-05 17:35:5127

基于多級(jí)信道化的超寬帶搜索接收機(jī)設(shè)計(jì)與實(shí)現(xiàn)

針對(duì)大瞬時(shí)州,寬和高頻率分辨率的實(shí)時(shí)偵察需求,聯(lián)合采用模擬信道化和數(shù)字信道技術(shù)完成了 超寬帶信號(hào)搜索接收機(jī)的設(shè)計(jì)與實(shí)現(xiàn),并承點(diǎn)討論了數(shù)字信道化接收機(jī)的高速FPGA數(shù)字系統(tǒng)設(shè)計(jì)。數(shù)字設(shè)計(jì)中,充分考慮
2021-03-19 17:42:009

基于Virtex-2p FPGA實(shí)現(xiàn)無(wú)線信道模擬器的應(yīng)用方案

多徑傳播信道信道脈沖響應(yīng)模式是模擬一個(gè)離散的廣義平穩(wěn)非相關(guān)散射模型(WSSUS)。這樣的頻率選擇性衰落信道應(yīng)該滿足兩個(gè)假設(shè)條件:
2021-06-26 16:33:083265

基于FPGA實(shí)時(shí)圖像邊緣檢測(cè)系統(tǒng)的實(shí)現(xiàn)

上篇我們介紹了相關(guān)的算法原理以及外設(shè)特性,本篇我們將介紹一下基于FPGA的實(shí)時(shí)圖像邊緣檢測(cè)系統(tǒng)的實(shí)現(xiàn)方案,算法的Verilog實(shí)現(xiàn)以及最終實(shí)現(xiàn)檢測(cè)效果。
2022-04-21 10:56:072014

FPGA學(xué)習(xí)-邊沿檢測(cè)技術(shù)

邊沿采樣技術(shù)實(shí)現(xiàn)上升沿捕獲進(jìn)而實(shí)現(xiàn)外部信號(hào)的上升沿觸發(fā)。 邊沿檢測(cè)電路的實(shí)現(xiàn)方法; 1、always @ (posedge signal) FPGA不便于處理此類觸發(fā)信號(hào),除非外部輸入信號(hào)作為全局時(shí)鐘使用。另外眾所周知由于電路不能能避免抖動(dòng)現(xiàn)象,所以用這
2022-11-26 10:20:09915

Zigbee技術(shù)物理信道的訪問(wèn)和設(shè)置建議

  Zigbee技術(shù)的物理信道主要是指用于數(shù)據(jù)傳輸?shù)臒o(wú)線電頻率信道。Zigbee技術(shù)使用2.4 GHz ISM(工業(yè)、科學(xué)和醫(yī)學(xué))頻段,其中包括16個(gè)信道,每個(gè)信道之間的帶寬為5 MHz。此外
2023-05-04 17:21:341722

基于OFDM傳輸中的頻率偏移和信道估計(jì)

電子發(fā)燒友網(wǎng)站提供《基于OFDM傳輸中的頻率偏移和信道估計(jì).pdf》資料免費(fèi)下載
2023-10-09 14:20:320

信道均衡有哪些實(shí)現(xiàn)方式?

信道均衡的實(shí)現(xiàn)方式主要包括線性自動(dòng)應(yīng)均衡、盲均衡和半盲均衡等。這些方法各有特點(diǎn),選擇哪種方法取決于具體的應(yīng)用場(chǎng)景和性能要求。例如,如果信道變化較快,可能需要使用自適應(yīng)濾波器來(lái)實(shí)現(xiàn)實(shí)時(shí)的信道均衡。而在信道特性較為穩(wěn)定的環(huán)境中,線性自動(dòng)應(yīng)均衡可能就足夠了。
2024-03-02 14:05:14593

已全部加載完成