電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>利用VHDL硬件描述語言和FPGA技術(shù)完成驅(qū)動(dòng)時(shí)序電路的實(shí)現(xiàn)

利用VHDL硬件描述語言和FPGA技術(shù)完成驅(qū)動(dòng)時(shí)序電路的實(shí)現(xiàn)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

15份CCD驅(qū)動(dòng)的文獻(xiàn)資料合集(基于FPGA、CPLD設(shè)計(jì)與實(shí)現(xiàn)

的設(shè)計(jì)與實(shí)現(xiàn),基于FPGA的模式可調(diào)線陣CCD驅(qū)動(dòng)電路設(shè)計(jì),基于FPGA的線陣CCD驅(qū)動(dòng)模塊的實(shí)現(xiàn),基于FPGA的線陣型CCD驅(qū)動(dòng)電路設(shè)計(jì),基于USB3_0的FPGA對(duì)線陣CCD驅(qū)動(dòng)時(shí)序電路設(shè)計(jì),基于單片機(jī)的線陣CCD驅(qū)動(dòng)模塊硬件設(shè)計(jì)與實(shí)現(xiàn)。
2019-06-03 16:45:25

FPGAVHDL有哪些優(yōu)點(diǎn)?怎么理解VHDL?

支持大規(guī)模設(shè)計(jì)的分解和已有設(shè)計(jì)的再利用功能。4.門級(jí)網(wǎng)表對(duì)于用 VHDL 完成的一個(gè)確定的設(shè)計(jì),可以利用 EDA 工具進(jìn)行邏輯綜合和優(yōu)化,并自動(dòng)把VHDL 描述設(shè)計(jì)轉(zhuǎn)變成門級(jí)網(wǎng)表。5.獨(dú)立性VHDL 對(duì)設(shè)計(jì)的描述具有相對(duì)獨(dú)立性,設(shè)計(jì)者可以不懂硬件的結(jié)構(gòu),也不必對(duì)最終設(shè)計(jì)實(shí)現(xiàn)的目標(biāo)器件有很深入地了解。
2018-09-07 09:04:45

VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì).侯伯亭&顧新

VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì).侯伯亭&顧新
2020-05-11 09:22:18

VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì).侯伯亭&顧新.掃描版

VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì).侯伯亭&顧新.掃描版
2020-05-03 09:46:42

VHDL與其他傳統(tǒng)集成電路描述語言相比具有什么優(yōu)勢(shì)?

VHDL與其他傳統(tǒng)集成電路描述語言相比具有什么優(yōu)勢(shì)?VHDL語言為核心的EDA技術(shù)在醫(yī)學(xué)中的應(yīng)用
2021-05-07 06:38:41

硬件描述語言VHDL課件

硬件描述語言VHDL課件   硬件描述語言VHDL 數(shù)字系統(tǒng)設(shè)計(jì)分為硬件設(shè)計(jì)和軟件設(shè)計(jì), 但是隨著計(jì)算機(jī)技術(shù)、超大規(guī)模集成電路(CPLD
2008-09-11 15:47:23

硬件描述語言與匯編語言有哪些區(qū)別呢

個(gè)人感覺:硬件描述語言vhdl等):是為了制造cpu(類似的芯片),設(shè)計(jì)人員使用hdl設(shè)計(jì)和安排寄存器和時(shí)序電路如何組合,然后最終會(huì)生成門級(jí)網(wǎng)表,然后通過相關(guān)軟件等生成最終物理電氣電路(怎么布局
2022-02-28 06:10:16

EDA技術(shù)FPGA設(shè)計(jì)應(yīng)用

系統(tǒng)進(jìn)行方案設(shè)計(jì)和功能劃分,由硬件描述語言完成系統(tǒng)行為級(jí)設(shè)計(jì),利用先進(jìn)的開發(fā)工具自動(dòng)完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局布線(PAR,Place And Route)、仿真及特定目標(biāo)芯片的適配
2008-06-26 16:16:11

Verilog HDL硬件描述語言

Verilog HDL硬件描述語言
2013-01-13 14:40:20

Verilog HDL硬件描述語言(非常經(jīng)典的教材)

Verilog HDL硬件描述語言(非常經(jīng)典的教材)FPGA軟件無線電開發(fā)(全階視頻教程+開發(fā)板+實(shí)例)詳情鏈接:http://url.elecfans.com/u/5e4a12f2ba
2013-07-22 14:50:03

Verilog_HDL硬件描述語言

Verilog_HDL硬件描述語言 FPGA的資料
2013-02-26 14:03:42

[VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì)].侯伯亭&顧新.掃描版

[VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì)].侯伯亭&顧新.掃描版
2020-05-21 09:25:46

verilog硬件描述語言課程講義

verilog硬件描述語言課程講義
2012-08-06 11:35:33

基于FPGA技術(shù)的RS 232接口的時(shí)序邏輯設(shè)計(jì)實(shí)現(xiàn)

摘要:RS 232接口是現(xiàn)在最常用的一種通信接口。隨著FPGA技術(shù)的高速發(fā)展,一些常見的接口電路時(shí)序電路可以通過FPGA實(shí)現(xiàn),通過這種設(shè)計(jì)可減少電路系統(tǒng)元件的數(shù)量,提高系統(tǒng)集成度和可靠性。詳細(xì)闡述
2019-06-19 07:42:37

基于CPLD和FPGAVHDL語言電路優(yōu)化設(shè)計(jì)

,一般情況下,速度指標(biāo)是首要的,在滿足速度要求的前提下,盡可能實(shí)現(xiàn)面積優(yōu)化。因此,本文結(jié)合在設(shè)計(jì)超聲探傷數(shù)據(jù)采集卡過程中的CPLD編程經(jīng)驗(yàn),提出串行設(shè)計(jì)、防止不必要鎖存器的產(chǎn)生、使用狀態(tài)機(jī)簡(jiǎn)化電路描述、資源共享,利用E2PROM芯片節(jié)省片內(nèi)資源等方法對(duì)VHDL電路進(jìn)行優(yōu)化。
2019-06-18 07:45:03

基本時(shí)序電路設(shè)計(jì)實(shí)驗(yàn)

實(shí)驗(yàn)二 基本時(shí)序電路設(shè)計(jì)(1)實(shí)驗(yàn)?zāi)康模菏煜uartusⅡ的VHDL文本設(shè)計(jì)過程,學(xué)習(xí)簡(jiǎn)單時(shí)序電路的設(shè)計(jì)、仿真和硬件測(cè)試。(2)實(shí)驗(yàn)內(nèi)容:Ⅰ.用VHDL設(shè)計(jì)一個(gè)帶異步復(fù)位的D觸發(fā)器,并利用
2009-10-11 09:21:16

如何利用FPGAVHDL語言實(shí)現(xiàn)PCM碼的解調(diào)?

利用現(xiàn)場(chǎng)可編程門陣列(FPGA)和VHDL 語言實(shí)現(xiàn)了PCM碼的解調(diào),這樣在不改變硬件電路的情況下,能夠適應(yīng)PCM碼傳輸速率和幀結(jié)構(gòu)變化,從而正確解調(diào)數(shù)據(jù)。
2021-05-07 06:58:37

如何利用FPGA硬件描述語言實(shí)現(xiàn)AES的加解密算法?

為了系統(tǒng)的擴(kuò)展性和構(gòu)建良好的人機(jī)交互,如何利用FPGA硬件描述語言實(shí)現(xiàn)AES的加解密算法?
2021-04-08 06:01:05

如何使用VHDL硬件描述語言實(shí)現(xiàn)的十六路彩燈控制系統(tǒng)?

本文介紹應(yīng)用美國ALTERA公司的MAX+PLUSⅡ平臺(tái),使用VHDL硬件描述語言實(shí)現(xiàn)的十六路彩燈控制系統(tǒng)。
2021-04-19 07:43:57

如何設(shè)計(jì)CCD的硬件驅(qū)動(dòng)電路

CCD驅(qū)動(dòng)電路實(shí)現(xiàn)是CCD應(yīng)用技術(shù)的關(guān)鍵問題。以往大多是采用普通數(shù)字芯片實(shí)現(xiàn)驅(qū)動(dòng)電路,CCD外圍電路復(fù)雜,為了克服以上方法的缺點(diǎn),利用VHDL硬件描述語言.運(yùn)用FPGA技術(shù)完成驅(qū)動(dòng)時(shí)序電路實(shí)現(xiàn)
2019-10-21 06:05:17

怎么利用FPGA實(shí)現(xiàn)鍵盤掃描模塊的設(shè)計(jì)?

如何利用VHDL硬件描述語言和FPGA器件構(gòu)建鍵盤掃描模塊?
2021-05-06 06:02:07

怎么利用CPLD/FPGAVHDL語言優(yōu)化電路

VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語言,是隨著可編程邏輯器件
2019-08-28 08:05:46

怎么利用CPLD數(shù)字控制技術(shù)對(duì)時(shí)序電路進(jìn)行改進(jìn)

本文利用CPLD數(shù)字控制技術(shù)對(duì)時(shí)序電路進(jìn)行改進(jìn)。CPLD(Complex Programmable Logic Device)是新一代的數(shù)字邏輯器件,具有速度快、集成度高、可靠性強(qiáng)、用戶可重復(fù)編程或
2021-05-06 09:44:24

怎么設(shè)計(jì)優(yōu)化VHDL語言電路?

什么是VHDLVHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語言,是隨著
2019-08-08 07:08:00

求助,TD支持哪些硬件描述語言,支持混合語言嗎?

TD支持哪些硬件描述語言,支持混合語言嗎?
2023-08-11 08:21:10

淺析嵌入式FPGA與HDL硬件描述語言

)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點(diǎn)。HDL硬件描述語言(HDL)是一種用來設(shè)計(jì)數(shù)字邏輯系統(tǒng)和描述數(shù)字電路語言,常用的主要有VHDL、Verilog HDL、System Verilog 和 System C。VHDL是一種用于電路設(shè)計(jì)的高級(jí)
2021-12-22 07:39:43

請(qǐng)問如何使用Verilog硬件描述語言實(shí)現(xiàn)AES密碼算法?

如何使用Verilog硬件描述語言實(shí)現(xiàn)AES密碼算法?
2021-04-14 06:29:10

Verilog硬件描述語言描述.

本書簡(jiǎn)要介紹了Verilog硬件描述語言的基礎(chǔ)知識(shí),包括語言的基本內(nèi)容和基本結(jié)構(gòu) ,以及利用語言在各種層次上對(duì)數(shù)字系統(tǒng)的建模方法。書中列舉了大量實(shí)例,幫助讀者掌握語
2006-03-27 23:44:08101

VHDL硬件描述語言教學(xué).

VHDL硬件描述語言教學(xué):包括fpga講義,VHDL硬件描述語言基礎(chǔ),VHDL語言的層次化設(shè)計(jì)的教學(xué)幻燈片
2006-03-27 23:46:4993

vhdl語言ppt

VHDL的定義和功能VHDL的發(fā)展概況程序編程語言和硬件描述語言的對(duì)比引入硬件描述語言對(duì)系統(tǒng)進(jìn)
2008-09-03 12:58:4139

vhdl硬件描述語言(教材課件)

數(shù)字系統(tǒng)設(shè)計(jì)分為硬件設(shè)計(jì)和軟件設(shè)計(jì), 但是隨著計(jì)算機(jī)技術(shù)、超大規(guī)模集成電路(CPLD、FPGA)的發(fā)展和硬件描述語言(HDL, Hardware Description Language)的出現(xiàn),軟、硬件設(shè)計(jì)之間的界
2008-09-11 15:15:5690

VHDL硬件描述語言 pdf

全面地介紹了VHDL硬件描述語言的基本知識(shí)和利用VHDL進(jìn)行數(shù)字電路系統(tǒng)設(shè)計(jì)的方法。全書共分13章:第1-6
2008-09-11 15:45:271333

VHDL語言的程序結(jié)構(gòu)與數(shù)據(jù)類型

[學(xué)習(xí)要求] 掌握VHDL硬件描述語言的基本語法和源文件的結(jié)構(gòu),學(xué)會(huì)用VHDL硬件描述語言設(shè)計(jì)典型數(shù)字邏輯電路。[重點(diǎn)與難點(diǎn)]重點(diǎn):VHDL語言的程序結(jié)構(gòu);VHDL語言的數(shù)據(jù)類型及數(shù)
2009-03-18 20:02:3547

VERILOG HDL硬件描述語言

本書簡(jiǎn)要介紹了Verilog硬件描述語言的基礎(chǔ)知識(shí),包括語言的基本內(nèi)容和基本結(jié)構(gòu) ,以及利用語言在各種層次上對(duì)數(shù)字系統(tǒng)的建模方法。書中列舉了大量實(shí)例,幫助讀者掌握語言
2009-07-20 11:36:350

VHDL語言概述

VHDL語言概述:本章主要內(nèi)容:􀁺硬件描述語言(HDL)􀁺VHDL語言的特點(diǎn)􀁺VHDL語言的開發(fā)流程 1.1 1.1 硬件描述語言硬件描述語言(HDL HDL)􀂾H
2009-08-09 23:13:2047

VHDL語言描述數(shù)字系統(tǒng)

VHDL語言描述數(shù)字系統(tǒng):本章介紹用 VHDL 描述硬件電路的一些基本手段和基本方法。   VHDL 語言是美國國防部在 20 世紀(jì) 80 年代初為實(shí)現(xiàn)其高速集成電路計(jì)劃(VHSIC)而提出的
2009-09-01 09:02:4037

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì)

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì):本書系統(tǒng)地介紹了一種硬件描述語言,即VHDL語言設(shè)計(jì)數(shù)字邏輯電路和數(shù)字系統(tǒng)的新方法。這是電子電路設(shè)計(jì)方法上一次革命性的變化,也是邁
2010-02-06 16:55:22359

Verilog HDL硬件描述語言【書籍

本書簡(jiǎn)要介紹了Verilog 硬件描述語言的基礎(chǔ)知識(shí),包括語言的基本內(nèi)容和基本結(jié)構(gòu),以及利用語言在各種層次上對(duì)數(shù)字系統(tǒng)的建模方法。書中列舉了大量實(shí)例,幫助讀者掌握
2010-07-02 14:55:51124

有限狀態(tài)機(jī)的硬件描述語言設(shè)計(jì)方法

實(shí)驗(yàn)?zāi)康? 1、 熟悉用硬件描述語言VHDL)設(shè)計(jì)一般狀態(tài)機(jī)所包含的幾個(gè)基本部分;2、 掌握用硬件描述語言VHDL)設(shè)計(jì)Moore型和Mealy型有限狀態(tài)機(jī)的方法;3、 了解狀態(tài)
2010-09-03 09:48:170

VHDL的基本描述語句設(shè)計(jì)

實(shí)驗(yàn)六、VHDL的基本描述語句設(shè)計(jì)一? 實(shí)驗(yàn)?zāi)康?掌握VHDL語言的基本結(jié)構(gòu)及設(shè)計(jì)的輸入方法。2掌握VHDL語言的基本描述語句的使用方法。二? 實(shí)驗(yàn)設(shè)備
2009-03-13 19:23:571998

時(shí)序電路設(shè)計(jì)串入/并出移位寄存器

時(shí)序電路設(shè)計(jì)串入/并出移位寄存器一  實(shí)驗(yàn)?zāi)康?掌握VHDL語言的基本描述語句的使用方法。2掌握使用VHDL語言進(jìn)行時(shí)序電路設(shè)計(jì)的方法。
2009-03-13 19:29:515733

時(shí)序電路設(shè)計(jì)串入/并出移位寄存器

時(shí)序電路設(shè)計(jì)串入/并出移位寄存器一  實(shí)驗(yàn)?zāi)康?掌握VHDL語言的基本描述語句的使用方法。2掌握使用VHDL語言進(jìn)行時(shí)序電路設(shè)計(jì)的方法。
2009-03-13 19:29:522024

同步時(shí)序電路

同步時(shí)序電路 4.2.1 同步時(shí)序電路的結(jié)構(gòu)和代數(shù)法描述
2010-01-12 13:31:554672

采用CPLD/FPGAVHDL語言電路優(yōu)化原理設(shè)計(jì)

采用CPLD/FPGAVHDL語言電路優(yōu)化原理設(shè)計(jì) VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語言,是隨著可編程邏輯器件(PLD)的發(fā)展而發(fā)展起
2010-03-19 11:38:022318

基于FPGA-SPARTAN芯片的CCD的硬件驅(qū)動(dòng)電路設(shè)計(jì)

  CCD驅(qū)動(dòng)電路實(shí)現(xiàn)是CCD應(yīng)用技術(shù)的關(guān)鍵問題。以往大多是采用普通數(shù)字芯片實(shí)現(xiàn)驅(qū)動(dòng)電路,CCD外圍電路復(fù)雜,為了克服以上方法的缺點(diǎn),利用VHDL硬件描述語言.運(yùn)用FPGA技術(shù)
2010-08-30 09:58:191289

基于FPGA的可鍵盤控制計(jì)數(shù)電路的設(shè)計(jì)

介紹一種基于FPGA(Field Programmable Gate Array)現(xiàn)場(chǎng)可編程門陣列的可鍵盤控制的計(jì)數(shù),顯示電路實(shí)現(xiàn)方法。應(yīng)用VHDL語言(高速集成電路硬件描述語言完成了34矩陣開關(guān)的掃描電路,可
2011-05-03 18:02:1497

verilog硬件描述語言課程講義

verilog硬件描述語言課程講義
2012-05-21 15:01:2933

[VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì)].侯伯亭&顧新.掃描版

電子發(fā)燒友網(wǎng)站提供《[VHDL硬件描述語言與和數(shù)字邏輯電路設(shè)計(jì)].侯伯亭&顧新.掃描版.txt》資料免費(fèi)下載
2012-07-10 18:32:330

硬件描述語言(HDL)概述

電子發(fā)燒友網(wǎng)核心提示 :硬件描述語言HDL是一種用形式化方法描述數(shù)字電路和系統(tǒng)的語言。 利用這種語言,數(shù)字電路系統(tǒng)的設(shè)計(jì)可以從上層到下層(從抽象到具體)逐層描述自己的設(shè)
2012-10-15 10:36:083385

硬件描述語言HDL的典型代表

電子發(fā)燒友網(wǎng)核心提示 :目前,硬件描述語言(HDL)可謂是百花齊放,有VHDL、Superlog、Verilog、SystemC、Cynlib C++、C Level等等。電子發(fā)燒友網(wǎng)小編今天就帶大家一起來了解下幾種具有代表
2012-10-15 10:51:384127

經(jīng)典教材-VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì)(第三版)

電子發(fā)燒友網(wǎng)站提供《經(jīng)典教材-VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì)(第三版).txt》資料免費(fèi)下載
2014-08-27 11:41:090

Verilog硬件描述語言參考手冊(cè)

Verilog硬件描述語言參考手冊(cè),Verilog語法內(nèi)容介紹
2015-11-12 17:20:370

Verilog HDL硬件描述語言

Verilog HDL硬件描述語言 有需要的下來看看
2015-12-29 15:31:270

硬件描述語言VHDL簡(jiǎn)介

硬件描述語言VHDL簡(jiǎn)介,好東西,喜歡的朋友可以下載來學(xué)習(xí)。
2016-02-19 17:19:500

VHDL硬件描述語言

VHDL語言編程學(xué)習(xí)之VHDL硬件描述語言
2016-09-01 15:27:270

Verilog硬件描述語言

VHDL語言編程學(xué)習(xí)Verilog硬件描述語言
2016-09-01 15:27:270

硬件描述語言VHDL

硬件描述語言VHDL的學(xué)習(xí)文檔,詳細(xì)的介紹了VHDL
2016-09-02 17:00:5312

Verilog HDL硬件描述語言

Verilog HDL硬件描述語言,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 11:20:1111

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì)

VHDL硬件描述語言與數(shù)字邏輯電路設(shè)計(jì),感興趣的小伙伴們可以瞧一瞧。
2016-11-10 14:20:340

VHDL硬件描述語言的學(xué)習(xí)

在小規(guī)模數(shù)字集成電路就要淘汰的今天,作為一個(gè)電類專業(yè)的畢業(yè)生應(yīng)該熟悉VHDL語言和CPLD、FPGA器件的設(shè)計(jì),閻石教授新編寫的教材也加入了VHDL語言方面的內(nèi)容,可見使用VHDL語言將數(shù)字系統(tǒng)集成
2017-12-05 09:00:3120

數(shù)字系統(tǒng)中硬件描述語言VHDL的簡(jiǎn)介

數(shù)字系統(tǒng)設(shè)計(jì)分為硬件設(shè)計(jì)和軟件設(shè)計(jì), 但是隨著計(jì)算機(jī)技術(shù)、超大規(guī)模集成電路(CPLD、FPGA)的發(fā)展和硬件描述語言(HDL, Hardware Description Language)的出現(xiàn)
2017-12-05 10:45:3212

硬件描述語言VHDL優(yōu)點(diǎn)及缺點(diǎn)

1987年, VHDL被正式確定為IEEE 1076標(biāo)準(zhǔn)。 VHDL是一種強(qiáng)類型語言, 具有豐富的表達(dá)能力, 可使各種復(fù)雜度(系統(tǒng)級(jí)、 電路板級(jí)、 芯片級(jí)、 門級(jí))的電路網(wǎng)絡(luò)在同一抽象程度上被描述
2018-03-30 11:20:159

VHDL語言設(shè)計(jì)實(shí)體的基本結(jié)構(gòu)

VHDL語言是一種在EDA設(shè)計(jì)中廣泛流行的硬件描述語言,主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具有硬件特征的語句外,VHDL語言的句法、語言形式和描述風(fēng)格十分類似于一般的計(jì)算機(jī)高級(jí)語言,是目前硬件描述語言中應(yīng)用最為廣泛的一種。
2018-03-30 16:04:2721

使用EDA技術(shù)VHDL硬件描述語言實(shí)現(xiàn)的TDMA數(shù)字頻帶通信系統(tǒng)資料概述

基于EDA 技術(shù)VHDL 硬件描述語言, 提出了一種TDMA 數(shù)字頻帶通信系統(tǒng), 在一片EPF10K10 的FPGA 芯片上完成了位同步、幀同步、A 律壓縮與解壓、FSK 調(diào)制與解調(diào)等系統(tǒng)的大部分功能, 實(shí)現(xiàn)了4 路語音與2路64kB 數(shù)據(jù)全雙工通信。
2018-09-29 16:54:545

FPGA教程之AHDL硬件描述語言的詳細(xì)資料說明

本文檔詳細(xì)介紹的是FPGA教程之AHDL硬件描述語言的詳細(xì)資料說明主要內(nèi)容包括了:1 硬件描述語言(HDL)概述,2 Altera 的硬件描述語言AHDL,3 AHDL電路設(shè)計(jì)舉例
2019-02-27 17:27:5616

VHDL硬件描述語言入門教程資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL硬件描述語言入門教程資料免費(fèi)下載包括了:1. VHDL語言基礎(chǔ),2. VHDL基本結(jié)構(gòu),3. VHDL語句,4. 狀態(tài)機(jī)在VHDL中的實(shí)現(xiàn),5. 常用電路VHDL程序,6. VHDL仿真,7. VHDL綜合
2019-04-08 08:00:0041

FPGA硬件語法篇:用Verilog代碼仿真與驗(yàn)證數(shù)字硬件電路

從數(shù)字電路中學(xué)到的邏輯電路功能,使用硬件描述語言(Verilog/VHDL)描述出來,這需要設(shè)計(jì)人員能夠用硬件編程思維來編寫代碼,以及擁有扎實(shí)的數(shù)字電路功底。
2019-12-05 07:10:002977

基于Verilog硬件描述語言的IEEE標(biāo)準(zhǔn)硬件描述語言資料合集免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是基于Verilog硬件描述語言的IEEE標(biāo)準(zhǔn)硬件描述語言資料合集免費(fèi)下載:1995、2001、2005;SystemVerilog標(biāo)準(zhǔn):2005、2009
2020-06-18 08:00:0010

什么是vhdl語言_簡(jiǎn)述vhdl語言的特點(diǎn)

什么是vhdl語言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述語言)。VHSIC是Very High Speed
2020-04-23 15:58:4910242

基于Verilog HDL描述語言實(shí)現(xiàn)交通燈系統(tǒng)控制器的設(shè)計(jì)

的電子電路設(shè)計(jì)功能。EDA技術(shù)使得電子電路設(shè)計(jì)者的工作僅限于利用硬件描述語言和EDA軟件平臺(tái)來完成對(duì)系統(tǒng)硬件功能的實(shí)現(xiàn),極大地提高了設(shè)計(jì)效率,縮短了設(shè)計(jì)周期,節(jié)省了設(shè)計(jì)成本。
2020-07-21 08:51:166818

采用VHDL語言FPGA實(shí)現(xiàn)WolfMCU體系結(jié)構(gòu)的設(shè)計(jì)

基于以上討論,可以看出ASIP+FPGA設(shè)計(jì)模式可以從很大程度上解決引言中提到的兩個(gè)難題。為了進(jìn)行更深入的研究,我們對(duì)該設(shè)計(jì)模式進(jìn)行了嘗試,用VHDL硬件描述語言FPGA實(shí)現(xiàn)了一個(gè)8位微處理器
2020-07-28 17:44:49562

使用VHDL語言和FPGA設(shè)計(jì)一個(gè)多功能數(shù)字鐘的論文免費(fèi)下載

本設(shè)計(jì)采用EDA技術(shù),以硬件描述語言VHDL為系統(tǒng)邏輯描述手段設(shè)計(jì)文件,在QUARTUSII工具軟件環(huán)境下, 采用自頂向下的設(shè)計(jì)方法, 由各個(gè)基本模塊共同構(gòu)建了一個(gè)基于FPGA的數(shù)字鐘。
2020-08-28 09:36:0019

使用VHDL硬件描述語言實(shí)現(xiàn)FSK調(diào)制的詳細(xì)說明

本文檔的主要內(nèi)容詳細(xì)介紹的是基于VHDL硬件描述語言,對(duì)基帶信號(hào)進(jìn)行FSK調(diào)制。
2021-01-19 14:34:0019

基于VHDL硬件描述語言實(shí)現(xiàn)CPSK調(diào)制的程序及仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是基于VHDL硬件描述語言實(shí)現(xiàn)CPSK調(diào)制的程序及仿真。
2021-01-19 14:34:1511

使用VHDL硬件描述語言實(shí)現(xiàn)基帶信號(hào)的MASK調(diào)制的程序與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語言實(shí)現(xiàn)基帶信號(hào)的MASK調(diào)制的程序與仿真。
2021-01-19 14:34:1713

使用VHDL硬件描述語言實(shí)現(xiàn)基帶信號(hào)的MFSK調(diào)制的程序與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語言實(shí)現(xiàn)基帶信號(hào)的MFSK調(diào)制的程序與仿真。
2021-01-19 14:34:194

如何使用VHDL硬件描述語言實(shí)現(xiàn)基帶信號(hào)的MPSK調(diào)制

本文檔的主要內(nèi)容詳細(xì)介紹的是如何使用VHDL硬件描述語言實(shí)現(xiàn)基帶信號(hào)的MPSK調(diào)制。
2021-01-19 14:34:212

使用VHDL硬件描述語言實(shí)現(xiàn)基帶碼發(fā)生器的程序設(shè)計(jì)與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語言實(shí)現(xiàn)基帶碼發(fā)生器的程序設(shè)計(jì)與仿真免費(fèi)下載。
2021-01-20 13:44:1611

硬件描述語言VHDL及其應(yīng)用的詳細(xì)說明

本文檔的主要內(nèi)容詳細(xì)介紹的是硬件描述語言VHDL及其應(yīng)用的詳細(xì)說明。
2021-01-21 16:02:1121

VHDL硬件描述語言基礎(chǔ)詳細(xì)資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL硬件描述語言基礎(chǔ)詳細(xì)資料說明包括了:簡(jiǎn)介,基本結(jié)構(gòu),基本數(shù)據(jù)類型,設(shè)計(jì)組合電路,設(shè)計(jì)時(shí)序電路,設(shè)計(jì)狀態(tài)機(jī),大規(guī)模電路的層次化設(shè)計(jì),F(xiàn)unction and Procedure
2021-01-21 17:03:1618

硬件描述語言和FPGA的具體關(guān)系

按鍵是FPGA設(shè)計(jì)當(dāng)中最常用也是最簡(jiǎn)單的外設(shè),本章通過按鍵檢測(cè)實(shí)驗(yàn),檢測(cè)開發(fā)板的按鍵功能是否正常,并了解硬件描述語言和FPGA的具體關(guān)系,學(xué)習(xí)Vivado RTL ANALYSIS的使用。
2022-02-08 17:27:53658

VHDL硬件描述語言的學(xué)習(xí)課件免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是VHDL硬件描述語言的學(xué)習(xí)課件免費(fèi)下載包括了:VHDL概述,VHDL數(shù)據(jù)類型與數(shù)據(jù)對(duì)象,VHDL命令語句
2021-01-22 08:00:005

CN0540硬件描述語言設(shè)計(jì)

CN0540硬件描述語言設(shè)計(jì)
2021-03-23 00:07:264

VHDL與Verilog硬件描述語言如何用TestBench來進(jìn)行仿真

VHDL與Verilog硬件描述語言在數(shù)字電路的設(shè)計(jì)中使用的非常普遍,無論是哪種語言,仿真都是必不可少的。而且隨著設(shè)計(jì)復(fù)雜度的提高,仿真工具的重要性就越來越凸顯出來。在一些
2021-08-04 14:16:443307

硬件描述語言HDL和匯編語言、c語言的區(qū)別

個(gè)人感覺:硬件描述語言vhdl等):是為了制造cpu(類似的芯片),設(shè)計(jì)人員使用hdl設(shè)計(jì)和安排寄存器和時(shí)序電路如何組合,然后最終會(huì)生成門級(jí)網(wǎng)表,然后通過相關(guān)軟件等生成最終物理電氣電路(怎么布局
2022-01-13 15:09:460

使用Verilog/SystemVerilog硬件描述語言練習(xí)數(shù)字硬件設(shè)計(jì)

HDLBits 是一組小型電路設(shè)計(jì)習(xí)題集,使用 Verilog/SystemVerilog 硬件描述語言 (HDL) 練習(xí)數(shù)字硬件設(shè)計(jì)~
2022-08-31 09:06:591168

基于硬件描述語言HDL的FPGA開發(fā)

基于硬件描述語言HDL,抽象出HLS(High-Level Synthesis)(翻譯為高層次綜合?怎么聽起來都沉得別扭)技術(shù),通過高層設(shè)計(jì)去隱藏很多底層邏輯和細(xì)節(jié),讓FPGA的開發(fā)更加簡(jiǎn)單。
2022-09-05 09:12:48704

什么是同步時(shí)序電路和異步時(shí)序電路,同步和異步電路的區(qū)別?

同步和異步時(shí)序電路都是使用反饋來產(chǎn)生下一代輸出的時(shí)序電路。根據(jù)這種反饋的類型,可以區(qū)分這兩種電路時(shí)序電路的輸出取決于當(dāng)前和過去的輸入。時(shí)序電路分為同步時(shí)序電路和異步時(shí)序電路是根據(jù)它們的觸發(fā)器來完成的。
2023-03-25 17:29:5217514

VHDL與Verilog硬件描述語言TestBench的編寫

VHDL與Verilog硬件描述語言在數(shù)字電路的設(shè)計(jì)中使用的非常普遍,無論是哪種語言,仿真都是必不可少的。而且隨著設(shè)計(jì)復(fù)雜度的提高,仿真工具的重要性就越來越凸顯出來。在一些
2023-09-09 10:16:56721

時(shí)序電路的分類 時(shí)序電路的基本單元電路有哪些

時(shí)序電路是一種能夠按照特定的順序進(jìn)行操作的電路。它以時(shí)鐘信號(hào)為基準(zhǔn),根據(jù)輸入信號(hào)的狀態(tài)和過去的狀態(tài)來確定輸出信號(hào)的狀態(tài)。時(shí)序電路廣泛應(yīng)用于計(jì)算機(jī)、通信系統(tǒng)、數(shù)字信號(hào)處理等領(lǐng)域。根據(jù)不同的分類標(biāo)準(zhǔn)
2024-02-06 11:25:21399

fpga用的是什么編程語言 fpga用什么語言開發(fā)

VHDL都是用于邏輯設(shè)計(jì)的硬件描述語言,并且都已成為IEEE標(biāo)準(zhǔn)。它們能形式化地抽象表示電路的結(jié)構(gòu)和行為,支持邏輯設(shè)計(jì)中層次與領(lǐng)域的描述,具有電路仿真與驗(yàn)證機(jī)制以保證設(shè)計(jì)的正確性,并便于文檔管理和設(shè)計(jì)重用。 fpga用什么語言開發(fā) FPGA(現(xiàn)場(chǎng)可編程邏輯門陣列)的開發(fā)主要使用硬件描述語言(HD
2024-03-14 17:09:32223

已全部加載完成