電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>采用AD9789與FPGA相結(jié)合實(shí)現(xiàn)全數(shù)字QPSK射頻調(diào)制的方案

采用AD9789與FPGA相結(jié)合實(shí)現(xiàn)全數(shù)字QPSK射頻調(diào)制的方案

12下一頁(yè)全文

本文導(dǎo)航

  • 第 1 頁(yè):采用AD9789與FPGA相結(jié)合實(shí)現(xiàn)全數(shù)字QPSK射頻調(diào)制的方案
  • 第 2 頁(yè):AD9789
收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

FPGA設(shè)計(jì)一階全數(shù)字鎖相環(huán)的方法

本文在說(shuō)明全數(shù)字鎖相環(huán)的基礎(chǔ)上,提出了一種利用FPGA設(shè)計(jì)一階全數(shù)字鎖相環(huán)的方法,并給出了關(guān)鍵部件的RTL可綜合代碼,并結(jié)合本設(shè)計(jì)的一些仿真波形詳細(xì)描述了數(shù)字鎖相環(huán)的工作過(guò)程,最后對(duì)一些有關(guān)
2018-10-25 09:17:138237

如何實(shí)現(xiàn)一種基于FPGA全數(shù)字高碼率QPSK調(diào)制設(shè)計(jì)?

調(diào)制信號(hào)的符號(hào)速率達(dá)到500Mbps,根據(jù)奈奎斯特采樣定理,DA的采樣頻率采用2Gbps。
2023-09-22 15:28:111052

8PSK全數(shù)字解調(diào)技術(shù)的實(shí)現(xiàn)

Altera公司的QuartusⅡ環(huán)境下,用VHDL語(yǔ)言實(shí)現(xiàn)了8PSK全數(shù)字解調(diào)系統(tǒng)設(shè)計(jì)。最后在PCB板上利用Stratix Ⅱ芯片實(shí)現(xiàn),并結(jié)合8PSK調(diào)制器進(jìn)行功能驗(yàn)證,得到滿意的結(jié)果?!娟P(guān)鍵詞】:PSK
2010-04-23 11:16:42

AD9789 IRQ管腳為何上電拉不高?

[/td][td] AD9789 IRQ管腳 上電拉不高,非正常工作狀態(tài) 手冊(cè)描述是:Active Low, Open-Drain Interrupt Request Output. Pull up
2023-11-27 06:27:08

AD9789上電手動(dòng)復(fù)位后對(duì)芯片進(jìn)行寫操作無(wú)響應(yīng)

采用AD9789,遇到以下問(wèn)題,求大神解答!上電手動(dòng)復(fù)位后,對(duì)芯片進(jìn)行寫操作無(wú)響應(yīng),無(wú)論寫入什么數(shù)據(jù),讀取狀態(tài)寄存器結(jié)果都是0xFF,示波器觀察SPI管腳時(shí)序無(wú)誤,上電后,不進(jìn)行任何配置,從SDO
2018-11-14 11:02:18

AD9789基帶數(shù)字上變頻頻率為什么能到Fdac/16

Hi ADI,關(guān)于AD9789 Datashhet EN中關(guān)于基帶數(shù)字上變頻的輸出頻率為DC to Fdac/16(The digital upconverter enables each
2018-10-25 09:25:53

AD9789基帶數(shù)字上變頻頻率為什么能到Fdac/16?

關(guān)于AD9789 Datashhet EN中關(guān)于基帶數(shù)字上變頻的輸出頻率為DC to Fdac/16(The digital upconverter enables each baseband
2023-12-21 07:56:11

AD9789數(shù)據(jù)接口如何避免通信不穩(wěn)定

Hi ADI我們的設(shè)計(jì)用到了FPGAAD9789進(jìn)行CMOS電平的數(shù)字通信。fpga的時(shí)鐘跟AD9789的時(shí)鐘是異步的,不知道這樣的設(shè)計(jì)會(huì)不會(huì)導(dǎo)致fpgaad9789的通信不穩(wěn)定,如何避免
2018-10-22 09:47:03

AD9789的16倍數(shù)字可調(diào)帶通濾波器以哪個(gè)參考為準(zhǔn)

你好!在使用AD9789芯片的過(guò)程中,發(fā)現(xiàn)中文和英文數(shù)據(jù)手冊(cè)的P44頁(yè)有不對(duì)應(yīng)的地方,16倍數(shù)字可調(diào)帶通濾波器公式中,中文數(shù)據(jù)手冊(cè)中最高中心頻率為Fdac/2,而英文數(shù)據(jù)手冊(cè)中最高中心頻率為Fdac,請(qǐng)問(wèn)以哪個(gè)參考為準(zhǔn),謝謝!
2018-11-16 10:10:37

AD9789的16倍插值器問(wèn)題?

的頻譜,復(fù)制16份。這時(shí)并不存在Nyqusit區(qū)這一概念。 這個(gè)問(wèn)題是我在看一個(gè)關(guān)于AD9789用于DVB-S的應(yīng)用時(shí)想到的,文檔如附件。附件DVB_S射頻調(diào)制FPGA 實(shí)現(xiàn).pdf268.7 KB
2018-12-12 11:34:59

AD9789的QAM編碼器對(duì)于輸出帶寬有限制嗎?

輸出數(shù)據(jù)不對(duì),會(huì)丟包,當(dāng)我將波特率設(shè)置到7.8M時(shí)是可以正常運(yùn)行的,是否是AD9789的QAM編碼器對(duì)于輸出帶寬有限制呢?謝謝各位!
2023-12-21 06:05:45

AD9789的QAM編碼器能不能工作在符號(hào)率為8MHz以上?

請(qǐng)問(wèn)各位,AD9789的QAM編碼器能不能工作在符號(hào)率為8MHz以上?謝謝!
2023-12-21 08:25:16

AD9789芯片IRQ引腳上電后輸出為低電平

我們?cè)陧?xiàng)目中使用AD9789芯片過(guò)程中發(fā)現(xiàn)IRQ上電后輸出為低電平,寫入值到中斷使能寄存器0x03后再讀中斷狀態(tài)寄存器0x04,則0x04均返回為0,但讀寫其他寄存器正常。在和ADI購(gòu)買的AD9789評(píng)估版上測(cè)試,上電后IRQ則為高電平,讀寫0x03和0x04則正常,想請(qǐng)專家?guī)徒獯鹨幌?,謝謝!
2018-10-09 16:21:26

QPSK調(diào)制解調(diào)

QPSK即正交相移調(diào)制。在看QPSK之前,先看一下通信系統(tǒng)的調(diào)制解調(diào)的過(guò)程為了方便分析,先假設(shè)這里是理想信道,沒(méi)有噪聲,接收端已經(jīng)載波同步,位同步。調(diào)制后的信號(hào)數(shù)學(xué)模型為: 上述的x(t)被調(diào)制到了
2016-11-30 18:26:53

fpgaad9789是如何同步的?

我們的設(shè)計(jì)用到了FPGAAD9789進(jìn)行CMOS電平的數(shù)字通信。fpga的時(shí)鐘跟AD9789的時(shí)鐘是異步的,不知道這樣的設(shè)計(jì)會(huì)不會(huì)導(dǎo)致fpgaad9789的通信不穩(wěn)定,如何避免。fpgaad9789是如何同步的?通信速率fs=18.284MHz,fdac=2.395204GHz。謝謝!
2023-12-21 08:29:25

全數(shù)字QAM解調(diào)器方案采用了載波相位和符號(hào)定時(shí)的聯(lián)合估計(jì)環(huán)——基于FPGA的同步電路設(shè)計(jì)與實(shí)現(xiàn)研究 精選資料分享

的發(fā)展方向。本課題著重研究高速調(diào)制解調(diào)器的全數(shù)字實(shí)現(xiàn)方法和基于FPGA的QAM系統(tǒng)設(shè)計(jì)、仿真和實(shí)現(xiàn),首先簡(jiǎn)要分析了QAM系統(tǒng)的基本原理和系統(tǒng)模型以及各個(gè)模塊的原理,提出了一種全數(shù)字調(diào)制解調(diào)器方案, 然后在
2021-07-27 06:38:51

數(shù)字調(diào)制-OFDM-QPSK-無(wú)線通信技術(shù)分享-2

的原理及實(shí)現(xiàn),以O(shè)FDM寬帶數(shù)字調(diào)制解調(diào)系統(tǒng)為例進(jìn)行說(shuō)明,子載波采用QPSK調(diào)制,用預(yù)畸變的μ律變換進(jìn)行了峰均比的降低。我已錄制成視頻,視頻及視頻中提到的代碼和資料下載地址如下(回復(fù)可見(jiàn)
2013-08-15 14:43:38

采用FPGA方案進(jìn)行數(shù)字顯示系統(tǒng)設(shè)計(jì)有什么特性?

SoC面臨的挑戰(zhàn)是什么采用FPGA方案進(jìn)行數(shù)字顯示系統(tǒng)設(shè)計(jì)有什么特性?
2021-04-29 06:24:26

采用FPGA來(lái)實(shí)現(xiàn)SVPWM調(diào)制算法

就已經(jīng)出現(xiàn),隨著FPGA芯片價(jià)格的不斷降低,其在工業(yè)領(lǐng)域的應(yīng)用正在飛速發(fā)展,采用FPGA來(lái)實(shí)現(xiàn)SVPWM調(diào)制算法也將層出不窮2. 系統(tǒng)任務(wù)分析及實(shí)現(xiàn)SVPWM調(diào)制算法相對(duì)比較復(fù)雜,在完成系統(tǒng)控制任務(wù)
2022-01-20 09:34:26

一種基于FPGA全數(shù)字短波解調(diào)器設(shè)計(jì)

摘要:調(diào)幅是中短波廣播中一種主要的調(diào)制方式。本文針對(duì)現(xiàn)有的模擬短波AM解調(diào)器的不足,提出了一種基于FPGA全數(shù)字解調(diào)器。其最大的優(yōu)點(diǎn)是將系統(tǒng)中的模擬電路壓縮到最小。短波信號(hào)在前端經(jīng)過(guò)模數(shù)轉(zhuǎn)換器采樣
2019-07-02 07:35:09

基于VHDL方式實(shí)現(xiàn)QPSK數(shù)字調(diào)制解調(diào)電路的設(shè)計(jì)

本文基于VHDL方式實(shí)現(xiàn)QPSK數(shù)字調(diào)制解調(diào)電路的設(shè)計(jì),通過(guò)QuartusII軟件建模對(duì)程序進(jìn)行仿真,并通過(guò)引腳鎖定,下載到FPGA芯片EP1K30TC144—3中,軟件仿真和硬件驗(yàn)證結(jié)果表明了該
2020-12-18 06:03:26

如何采用FPGA實(shí)現(xiàn)QPSK調(diào)制器?

QPSK調(diào)制的基本原理QPSK調(diào)制電路的FPGA實(shí)現(xiàn)
2021-04-08 06:01:29

如何采用ADF4111實(shí)現(xiàn)數(shù)字鎖相式可調(diào)頻率源的設(shè)計(jì)?

鎖相環(huán)是什么工作原理?如何采用FPGA與頻率綜合器ADF4111相結(jié)合的方法實(shí)現(xiàn)數(shù)字鎖相式頻率源的設(shè)計(jì)?
2021-04-14 07:00:20

如何采用VHDL實(shí)現(xiàn)全數(shù)字鎖相環(huán)電路的設(shè)計(jì)?

全數(shù)字鎖相環(huán)由那幾部分組成?數(shù)字鎖相環(huán)的原理是什么?如何采用VHDL實(shí)現(xiàn)全數(shù)字鎖相環(huán)電路的設(shè)計(jì)?
2021-05-07 06:14:44

如何采用dsPIC30F4012與IPM模塊結(jié)合實(shí)現(xiàn)全數(shù)字化SPWM變頻調(diào)速系統(tǒng)?

本文引入了dsPIC30F4012芯片,并介紹采用它與IPM模塊結(jié)合實(shí)現(xiàn)全數(shù)字化SPWM變頻調(diào)速系統(tǒng)的構(gòu)成及基本算法。系統(tǒng)主電路采用交直一交電壓型變頻方式,逆變電路采用三菱電機(jī)推出的第4代智能功率
2021-04-21 06:40:01

如何用FPGA實(shí)現(xiàn)全數(shù)字高階QAM調(diào)制器?

本文首先介紹了MQAM調(diào)制解調(diào)的基本原理,然后以64QAM為例,介紹了一種全數(shù)字實(shí)現(xiàn)調(diào)制系統(tǒng)結(jié)構(gòu)方案,并給出了解調(diào)器的具體FPGA實(shí)現(xiàn)方法及關(guān)鍵技術(shù)。
2021-04-30 06:46:14

如何用FPGA實(shí)現(xiàn)線路調(diào)制?

本文將介紹線路調(diào)制FPGA實(shí)現(xiàn),包括:線路調(diào)制單元數(shù)字實(shí)現(xiàn)的總體設(shè)計(jì),CIC和FIR濾波器的FPGA實(shí)現(xiàn)以及載波發(fā)生器單元的設(shè)計(jì)。
2021-04-29 06:41:28

求助有關(guān)QPSK調(diào)制的測(cè)量與凈化問(wèn)題

QPSK調(diào)制是什么?衡量QPSK調(diào)制質(zhì)量的指標(biāo)有哪些?QPSK調(diào)制是如何測(cè)量與凈化的?
2021-04-13 06:23:18

請(qǐng)問(wèn)AD9789 BIST怎么產(chǎn)生sine wave

專家您好,請(qǐng)問(wèn), AD9789 可以透過(guò)設(shè)定哪個(gè)register and value,使其輸出sine wave? Thanks a lot.
2018-12-12 09:16:56

請(qǐng)問(wèn)AD9789可以透過(guò)設(shè)定哪個(gè)register and value使其輸出sine wave?

請(qǐng)問(wèn), AD9789 可以透過(guò)設(shè)定哪個(gè)register and value,使其輸出sine wave? 非常感謝。
2023-11-24 08:29:08

請(qǐng)問(wèn)AD9789在QDUC模式下輸入至16倍插值器的信號(hào)是IQ信號(hào)還是實(shí)信號(hào)?

,AD9789在QDUC模式下,基帶數(shù)字上變頻輸出IQ信號(hào)(復(fù)信號(hào))至16倍插值器和帶通濾波器,則相應(yīng)的帶通濾波器輸出至RFDAC是實(shí)信號(hào),我想了解的是芯片在此架構(gòu)圖中如何實(shí)現(xiàn)復(fù)信號(hào)到實(shí)信號(hào)的轉(zhuǎn)換,(我
2023-12-21 07:32:06

請(qǐng)問(wèn)AD9789在QDUC模式下輸入至16倍插值器的信號(hào)是IQ信號(hào)還是實(shí)信號(hào)?

,AD9789在QDUC模式下,基帶數(shù)字上變頻輸出IQ信號(hào)(復(fù)信號(hào))至16倍插值器和帶通濾波器,則相應(yīng)的帶通濾波器輸出至RFDAC是實(shí)信號(hào),我想了解的是芯片在此架構(gòu)圖中如何實(shí)現(xiàn)復(fù)信號(hào)到實(shí)信號(hào)的轉(zhuǎn)換,(我原來(lái)的理解
2018-12-12 11:34:07

請(qǐng)問(wèn)AD9789在程序運(yùn)行期間怎么改變輸出頻率?

大家好: 我在使用AD9789的時(shí)候遇到一個(gè)問(wèn)題。 我是用STM32f系列的單片機(jī)對(duì)AD9789進(jìn)行控制。 在程序初始化的時(shí)候,采用datasheet上的初始化順序可以對(duì)AD9789進(jìn)行正確
2023-12-19 07:27:33

請(qǐng)問(wèn)AD9789在程序運(yùn)行期間怎么改變輸出頻率?

大家好:我在使用AD9789的時(shí)候遇到一個(gè)問(wèn)題。我是用STM32f系列的單片機(jī)對(duì)AD9789進(jìn)行控制。在程序初始化的時(shí)候,采用datasheet上的初始化順序可以對(duì)AD9789進(jìn)行正確的配置?,F(xiàn)在
2018-09-25 17:39:08

請(qǐng)問(wèn)AD9788實(shí)現(xiàn)QPSK調(diào)制時(shí)所用載波是由FPGA提供的還是由AD9788提供的?

AD9788實(shí)現(xiàn)QPSK調(diào)制時(shí)所用載波是由FPGA提供的還是由AD9788本身提供的?
2018-11-15 09:19:30

請(qǐng)問(wèn)ADF4350直接為AD9789提供時(shí)鐘可以嗎?

1 AD9789的參考時(shí)鐘要求是差分鋒值電壓為1.8V,如下截圖2ADF4350的輸出功率范圍通過(guò)寄存器可以配置為-4dbm至5dbm, 3AD9789的參考設(shè)計(jì)中為AD9789增加了一個(gè)時(shí)鐘驅(qū)動(dòng)
2018-10-22 09:47:27

請(qǐng)問(wèn)有AD9789 QDUC SPI配置參考代碼嗎

Hi ALL,目前我在正在調(diào)試AD9789,使用的是AD9789內(nèi)部的QDUC功能,如下圖:原理圖是參考官網(wǎng)設(shè)計(jì)的(芯片為AD9789 ADF4350 ADCLK914以及一個(gè)MCU)?,F(xiàn)在
2018-12-11 09:22:51

請(qǐng)問(wèn)有AD9789 flatness相關(guān)的技術(shù)文件嗎?

Hi,請(qǐng)問(wèn)有AD9789 flatness 相關(guān)的技術(shù)文件嗎?? We need the documents about AD9789 register setting and recomended
2019-03-05 13:01:32

誰(shuí)有基于FPGA數(shù)字調(diào)制器的程序啊

誰(shuí)有基于FPGA數(shù)字調(diào)制器的程序啊,急用啊ASK,PSK,.FSK,QPSK
2014-04-14 22:31:30

遠(yuǎn)距離射頻卡讀寫器數(shù)字處理模塊的設(shè)計(jì)怎么實(shí)現(xiàn)?

本文較系統(tǒng)地介紹了一類遠(yuǎn)距離射頻卡讀寫器數(shù)字處理模塊的設(shè)計(jì),特別在于采用單片CPLD實(shí)現(xiàn)射頻卡讀寫器數(shù)字模塊功能,采用了原理圖和VHDL相結(jié)合自頂向下的設(shè)計(jì)方法,樣機(jī)PCB版面積小,開(kāi)發(fā)周期短
2021-05-07 06:00:47

全數(shù)字鎖相環(huán)的設(shè)計(jì)

智能全數(shù)字鎖相環(huán)的設(shè)計(jì) 摘要: 在FPGA片內(nèi)實(shí)現(xiàn)全數(shù)字
2008-08-14 22:12:5156

基于FPGAQPSK 調(diào)制解調(diào)電路設(shè)計(jì)與實(shí)現(xiàn)Design

數(shù)字調(diào)制解調(diào)技術(shù)在數(shù)字通信中占有非常重要的地位,數(shù)字通信技術(shù)與FPGA結(jié)合是現(xiàn)代通信系統(tǒng)發(fā)展的一個(gè)必然趨勢(shì)。文中介紹了QPSK調(diào)制解調(diào)的原理,并基于FPGA實(shí)現(xiàn)QPSK調(diào)制
2009-06-09 09:06:44124

基于FPGA全數(shù)字鎖相環(huán)設(shè)計(jì)

基于FPGA全數(shù)字鎖相環(huán)設(shè)計(jì):
2009-06-26 17:30:59141

基于F PGA的QPS K調(diào)制解調(diào)電路設(shè)計(jì)與實(shí)現(xiàn)

數(shù)字調(diào)制解調(diào)技術(shù)在數(shù)字通信中占有非常重要的地位,數(shù)字通信技術(shù)與FPGA結(jié)合是現(xiàn)代通信系統(tǒng)發(fā)展的一個(gè)必然趨勢(shì)。文中介紹了QPSK調(diào)制解調(diào)的原理,并基于FPGA實(shí)現(xiàn)QPSK調(diào)制解調(diào)
2009-07-22 15:42:250

GMSK調(diào)制器的FPGA實(shí)現(xiàn)

GMSK(高斯最小移頻鍵控)信號(hào)優(yōu)良的頻譜特性在跳頻通信中有廣闊的應(yīng)用前景。本文分析了GMSK 調(diào)制器的設(shè)計(jì)理論,給出了一種全數(shù)字實(shí)現(xiàn)結(jié)構(gòu)并在FPGA 上加以實(shí)現(xiàn)。仿真結(jié)果表明,
2009-08-13 14:48:0556

基于FPGAQPSK解調(diào)器的設(shè)計(jì)與實(shí)現(xiàn)

根據(jù)軟件無(wú)線電的思想,用可編程器件FPGA 實(shí)現(xiàn)QPSK 解調(diào),采用帶通采樣技術(shù)對(duì)中頻為70MHz 的調(diào)制信號(hào)采樣,通過(guò)對(duì)采樣后的頻譜進(jìn)行分析,用相干解調(diào)方案實(shí)現(xiàn)全數(shù)字解調(diào)
2009-08-27 11:00:1468

AD9789,pdf datasheet (14-Bit,

The AD9789 is a flexible QAM encoder/interpolator/upconverter combined with a high performance
2009-09-21 08:22:2517

QPSK高性能數(shù)字調(diào)制器的FPGA實(shí)現(xiàn)

本文提出了一種QPSK 高性能數(shù)字調(diào)制器的FPGA 實(shí)現(xiàn)方案,由Altera 的IP 核NCO2.3.0 提供QPSK高穩(wěn)定度的數(shù)字正弦信號(hào),給出了QPSK 的實(shí)驗(yàn)仿真結(jié)果。結(jié)果表明,基于NCO 的QPSK 數(shù)字調(diào)制器極大
2009-09-26 08:56:5543

QPSK調(diào)制器的FPGA實(shí)現(xiàn)

提出了一種基于FPGA 實(shí)現(xiàn)QPSK 調(diào)制器的方法。以FPGA 實(shí)現(xiàn)DDS,通過(guò)對(duì)DDS 信號(hào)輸出相位的控制實(shí)現(xiàn)調(diào)相。仿真結(jié)果表明方案是可行的。
2009-12-18 11:57:0866

一種全數(shù)字BPSK解調(diào)器的設(shè)計(jì)與FPGA實(shí)現(xiàn)

介紹一種全數(shù)字BPSK 解調(diào)器的設(shè)計(jì)及FPGA 實(shí)現(xiàn)。該解調(diào)器采用前向開(kāi)環(huán)的結(jié)構(gòu)實(shí)現(xiàn)載波同步,與傳統(tǒng)的閉環(huán)反饋結(jié)構(gòu)相比,該解調(diào)器具有同步速度快,載波頻差估計(jì)范圍大等優(yōu)點(diǎn),
2009-12-19 15:57:3652

DS-SS接收機(jī)全數(shù)字AGC的FPGA實(shí)現(xiàn)

論述了某航天器DS-SS接收機(jī)外部AGC的設(shè)計(jì)原理和具體實(shí)現(xiàn),重點(diǎn)討論了如何根據(jù)射頻前端的輸出設(shè)計(jì)全數(shù)字AGC以擴(kuò)展接收機(jī)的動(dòng)態(tài)范圍,并給出了基于FPGA的外部AGC電路算法。計(jì)算機(jī)仿
2010-07-17 15:02:139

一種全數(shù)字BPSK解調(diào)器的設(shè)計(jì)與FPGA實(shí)現(xiàn)

介紹一種全數(shù)字BPSK解調(diào)器的設(shè)計(jì)及FPGA實(shí)現(xiàn)。該解調(diào)器采用前向開(kāi)環(huán)的結(jié)構(gòu)實(shí)現(xiàn)載波同步,與傳統(tǒng)的閉環(huán)反饋結(jié)構(gòu)相比,該解調(diào)器具有同步速度快,載波頻差估計(jì)范圍大等優(yōu)點(diǎn),尤其適合
2010-07-21 17:34:1947

直擴(kuò)QPSK系統(tǒng)中Costas環(huán)原理及其實(shí)現(xiàn)

介紹了某直接序列擴(kuò)頻、QPSK調(diào)制系統(tǒng)接收通道中四相Costas載波跟蹤環(huán)的原理及其基于DSP+FPGA實(shí)現(xiàn)。著重論述了跟蹤環(huán)的鑒相特性和環(huán)路濾波器的設(shè)計(jì)和參數(shù)計(jì)算。
2010-08-04 11:43:350

QPSK調(diào)制

QPSK調(diào)制器 本文深入淺出地向讀者介紹了QPSK調(diào)制解調(diào)器的工
2006-05-07 13:42:481614

π/4-QPSK調(diào)制方式及其與GSMK調(diào)制方式的比較

【摘 要】 簡(jiǎn)略介紹了QPSK,π/4-QPSK調(diào)制方法及基于此的π/4-DQPSK調(diào)制方法,就π/4-QPSK調(diào)制電路原理與實(shí)現(xiàn)作了概述,并針對(duì)π/4-QPSK調(diào)制方法的優(yōu)點(diǎn)及其性能與GMSK技術(shù)
2009-05-15 22:32:3016257

Quartus II平臺(tái)下實(shí)現(xiàn)全數(shù)字FSK調(diào)制解調(diào)器

根據(jù)數(shù)字信號(hào)FSK調(diào)制和解調(diào)的工作原理,采用層次化、模塊化方法設(shè)計(jì)了一種基于FPGA 芯片的FSK調(diào)制解調(diào)器;用數(shù)字鍵控法實(shí)現(xiàn)調(diào)制,用過(guò)零檢測(cè)法實(shí)現(xiàn)全數(shù)字解調(diào)。同時(shí)結(jié)合系統(tǒng)
2011-08-26 15:25:48114

基于FPGA的高階QAM調(diào)制器的實(shí)現(xiàn)

針對(duì)全數(shù)字正交幅度(QAM)調(diào)制技術(shù)在數(shù)字微波通信系統(tǒng)中的應(yīng)用,以64QAM為例提出了一種全數(shù)字實(shí)現(xiàn)調(diào)制系統(tǒng)結(jié)構(gòu)方案,基于Quartus II及Matlab軟件開(kāi)發(fā)平臺(tái),用原理圖和Verilog HDL語(yǔ)言相結(jié)
2011-09-20 15:16:2392

基于System Generator的QPSK數(shù)字調(diào)制器設(shè)計(jì)

該設(shè)計(jì)是基于System Generator設(shè)計(jì)平臺(tái),在Matlab/Simulink環(huán)境下搭建系統(tǒng)模型,再進(jìn)行功能仿真和驗(yàn)證,完成QPSK調(diào)制器的設(shè)計(jì)。仿真結(jié)果表明,所設(shè)計(jì)的調(diào)制器能產(chǎn)生正確QPSK波形,達(dá)到了預(yù)期效果
2011-10-17 16:10:5165

基于FPGA的MSK調(diào)制器設(shè)計(jì)與實(shí)現(xiàn)

介紹了MSK信號(hào)的優(yōu)點(diǎn),并分析了其實(shí)現(xiàn)原理,提出一種MSK高性能數(shù)字調(diào)制器的FPGA實(shí)現(xiàn)方案采用自頂向下的設(shè)計(jì)思想,將系統(tǒng)分成串/并變換器、差分編碼器、數(shù)控振蕩器、移相器、乘
2012-04-12 14:40:4065

基于VHDL的QPSK調(diào)制解調(diào)系統(tǒng)設(shè)計(jì)與仿真

文中詳細(xì)介紹了QPSK技術(shù)的工作原理和QPSK調(diào)制、解調(diào)的系統(tǒng)設(shè)計(jì)方案,并通過(guò)VHDL語(yǔ)言編寫調(diào)制解調(diào)程序和QuartusII軟件建模對(duì)程序進(jìn)行仿真,通過(guò)引腳鎖定,下載程序到FPGA芯片EP1K30TC144
2013-06-08 17:47:1991

基于CPLD實(shí)現(xiàn)QPSK調(diào)制電路的設(shè)計(jì)

QPSK數(shù)字通信系統(tǒng)中一種常用的多進(jìn)制調(diào)制方式。其調(diào)制的基本原理:對(duì)輸入的二進(jìn)制序列按每?jī)晌淮a元分為一組,用載波的四種相位表征它們。
2014-09-04 10:21:551901

QPSK調(diào)制解調(diào)

QPSK調(diào)制解調(diào)的整個(gè)過(guò)程的講解和源代碼,之后會(huì)長(zhǎng)傳在FPGA上的實(shí)現(xiàn)和調(diào)試
2016-12-12 21:36:1971

基于FPGA全數(shù)字FQPSK調(diào)制實(shí)現(xiàn)_楊峰

基于FPGA全數(shù)字FQPSK調(diào)制實(shí)現(xiàn)_楊峰
2017-03-19 11:38:262

基于QPSK數(shù)字調(diào)制解調(diào)的FPGA實(shí)現(xiàn)

隨著FPGA技術(shù)的發(fā)展,數(shù)字通信技術(shù)與FPGA結(jié)合體現(xiàn)了現(xiàn)代數(shù)字通信系統(tǒng)發(fā)展的一個(gè)趨勢(shì)。為了使高速通信系統(tǒng)更加緊湊、成本更低、減小功耗,特別是提高設(shè)備的可靠性,可采用Q P S K數(shù)字調(diào)制技術(shù)
2018-02-20 07:50:0019252

如何使用FPGA實(shí)現(xiàn)QPSK調(diào)制器的設(shè)計(jì)與實(shí)現(xiàn)

采用FPGA設(shè)計(jì)芯片技術(shù)對(duì)多進(jìn)制數(shù)字通信技術(shù)的QPSK調(diào)制實(shí)現(xiàn)進(jìn)行了設(shè)計(jì)研究,將調(diào)制器中原有多種專用芯片的功能集成在一片大規(guī)模可編程邏輯器件FPGA芯片上,實(shí)現(xiàn)了高度集成化,小型化。實(shí)際研究仿真表明,該方案具有突出的靈活性和高效性,為設(shè)計(jì)者提供了多種可自由選擇的設(shè)計(jì)方法和工具.
2020-07-22 17:51:1315

數(shù)字Σ-Δ調(diào)制器的基本原理及采用FPGA器件實(shí)現(xiàn)設(shè)計(jì)

Σ-Δ調(diào)制數(shù)字信號(hào)處理以及通信系統(tǒng)方面的應(yīng)用正越來(lái)越引起大家的重視,因?yàn)棣?Δ調(diào)制實(shí)現(xiàn)時(shí)主要采用數(shù)字技術(shù)而且對(duì)模擬端的精度要求不高,Σ-Δ調(diào)制通常都是對(duì)模擬信號(hào)進(jìn)行調(diào)制產(chǎn)生一位數(shù)字信號(hào)。但很多情況下,輸入信號(hào)本身就是數(shù)字信號(hào),這時(shí)就非常有必要研究對(duì)數(shù)字信號(hào)的Σ-Δ調(diào)制實(shí)現(xiàn)方式。
2020-07-31 17:52:094939

如何使用FPGA實(shí)現(xiàn)數(shù)字AM調(diào)制的設(shè)計(jì)

近年來(lái),數(shù)字AM調(diào)制技術(shù)應(yīng)用越來(lái)越廣泛,具體應(yīng)用中多采用專用的調(diào)制芯片完成。文中介紹一種在FPGA實(shí)現(xiàn)數(shù)字AM調(diào)制的方法,采用該方法設(shè)計(jì)的系統(tǒng)具有使用靈活、擴(kuò)展性強(qiáng)、便于集成等優(yōu)點(diǎn)。文中先討
2020-07-31 17:50:2219

如何使用FPGA實(shí)現(xiàn)高性能全數(shù)字鎖相環(huán)的設(shè)計(jì)

本文提出了一種適用范圍廣泛的全數(shù)字鎖相環(huán)(ADPLL)實(shí)現(xiàn)方法.在鎖相環(huán)輸入頻率未知的情況下,實(shí)現(xiàn)鎖相鎖頻功能。本文從全數(shù)字鎖相環(huán)的基本實(shí)現(xiàn)方式入手.進(jìn)行改進(jìn),并使用VH DL語(yǔ)言建模,使用FPGA進(jìn)行驗(yàn)證。
2021-01-26 15:03:0065

如何使用FPGA實(shí)現(xiàn)高性能全數(shù)字鎖相環(huán)的設(shè)計(jì)

本文提出了一種適用范圍廣泛的全數(shù)字鎖相環(huán)(ADPLL)實(shí)現(xiàn)方法.在鎖相環(huán)輸入頻率未知的情況下,實(shí)現(xiàn)鎖相鎖頻功能。本文從全數(shù)字鎖相環(huán)的基本實(shí)現(xiàn)方式入手.進(jìn)行改進(jìn),并使用VH DL語(yǔ)言建模,使用FPGA進(jìn)行驗(yàn)證。
2021-01-26 15:03:0018

AD9789:14位、2400 MSPS RF DAC,具備4通道信號(hào)處理能力 數(shù)據(jù)手冊(cè)

AD9789:14位、2400 MSPS RF DAC,具備4通道信號(hào)處理能力 數(shù)據(jù)手冊(cè)
2021-03-19 06:43:524

基于多相濾波的正交采樣零中頻數(shù)字化接收及QPSK高速解調(diào)的FPGA實(shí)現(xiàn)

針對(duì)高速率QPSK數(shù)據(jù)傳輸鏈系統(tǒng),比較分析了數(shù)字中頻接收與零中頻接收的優(yōu)、缺點(diǎn),并提出了一種基于多相濾波的寬帶中頻正交采樣數(shù)字零中頻接收方案?;?b class="flag-6" style="color: red">FPGA對(duì)此數(shù)字零中頻正交變換方案進(jìn)行了實(shí)現(xiàn)和驗(yàn)證,同時(shí),對(duì)一種全數(shù)字零中頻QPSK信號(hào)的高速解調(diào)算法及其FPGA硬件實(shí)現(xiàn)進(jìn)行了介紹。
2021-03-19 17:43:1211

AD9789 IBIS型號(hào)

AD9789 IBIS型號(hào)
2021-04-12 17:04:192

AD9789評(píng)估板、DAC-FMC轉(zhuǎn)接器和Xilinx ML605參考設(shè)計(jì)

AD9789評(píng)估板、DAC-FMC轉(zhuǎn)接器和Xilinx ML605參考設(shè)計(jì)
2021-05-20 13:26:268

基于軟件無(wú)線電QPSK調(diào)制解調(diào)實(shí)現(xiàn)的研究畢業(yè)設(shè)計(jì)

基于軟件無(wú)線電QPSK調(diào)制解調(diào)實(shí)現(xiàn)的研究畢業(yè)設(shè)計(jì)免費(fèi)下載。
2021-05-28 14:24:1235

基于FPGA的高性能全數(shù)字鎖相環(huán)

基于FPGA的高性能全數(shù)字鎖相環(huán)
2021-06-08 11:09:0145

AD9789 IBIS型號(hào)

AD9789 IBIS型號(hào)
2021-06-17 09:40:234

基于多相濾波的寬帶中頻正交采樣數(shù)字零中頻接收方案

進(jìn)行了實(shí)現(xiàn)和驗(yàn)證, 同時(shí),對(duì)一種全數(shù)字零中頻 QPSK 信號(hào)的高速解調(diào)算法及其 FPGA 硬件實(shí)現(xiàn)進(jìn)行了介紹。
2022-12-12 15:44:363

QPSK調(diào)制

本文深入淺出地向讀者介紹了QPSK調(diào)制解調(diào)器的工作原理。從模擬通信到數(shù)字通信的轉(zhuǎn)變加速了QPSK技術(shù)的應(yīng)用。本文用歐拉公式輔助分析正弦和余弦的乘積,并通過(guò)SPICE仿真給出了一個(gè)1MHz正弦波
2023-06-09 14:21:57707

基于FPGA的B超全數(shù)字波束形成技術(shù)

簡(jiǎn)介:論述了一種運(yùn)行在FPGA芯片上應(yīng)用于B超的全數(shù)字波束形成技術(shù)。采用孔徑變跡、幅度加權(quán)變跡和動(dòng)態(tài)變跡相結(jié)合的綜合變跡技術(shù)和動(dòng)態(tài)聚焦技術(shù),兩種技術(shù)均形成直觀的數(shù)學(xué)模型,在FPGA上的實(shí)現(xiàn)方法類似
2023-11-09 08:31:410

已全部加載完成