電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>小梅哥和你一起深入學(xué)習(xí)FPGA之點(diǎn)亮LED燈(下)

小梅哥和你一起深入學(xué)習(xí)FPGA之點(diǎn)亮LED燈(下)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴

評論

查看更多

相關(guān)推薦

2015年度,論壇FPGA版塊精華帖匯總!

1.小和你一起深入學(xué)習(xí)FPGA匯總帖(2月2日更新)https://bbs.elecfans.com/jishu_465574_1_1.html2.FPGA零基礎(chǔ)入門PPT課件https
2016-01-26 11:58:01

FPGA獨(dú)立按鍵檢測

和你一起深入學(xué)習(xí)FPGA src.rar (2.89 KB )
2019-01-30 00:22:47

FPGA零基礎(chǔ)學(xué)習(xí)Vivado-LED流水燈實(shí)驗(yàn)

Vivado-LED流水燈實(shí)驗(yàn)。話不多說,上貨。FPGA零基礎(chǔ)學(xué)習(xí)Vivado-LED流水燈實(shí)驗(yàn)流水燈是大多數(shù)學(xué)習(xí)者接觸到的第個(gè)實(shí)驗(yàn),也是非常經(jīng)典的個(gè)實(shí)驗(yàn),在此,我們一起學(xué)習(xí)一下流水燈。LED,又名
2023-04-18 21:12:22

個(gè)LED點(diǎn)亮過程的本質(zhì)是什么

不知道你是否想過,個(gè)LED點(diǎn)亮過程的本質(zhì)是什么。當(dāng)你是個(gè)小白的時(shí)候,點(diǎn)亮個(gè)LED,IDE都會(huì)幫你做好所有的事情,你只需要點(diǎn)擊一下編譯即可。但是,當(dāng)你成長到定程度時(shí),就需要好好想想,個(gè)LED點(diǎn)亮,其實(shí)是對單片機(jī)中背后原理機(jī)制真正的深入理解。今天我就帶你,來深入理解個(gè)LDE點(diǎn)亮的過程。
2022-02-17 06:58:43

一起學(xué)習(xí)FPGA,FPGA學(xué)習(xí)小組開始招收成員,歡迎愛好者加入

學(xué)習(xí)的路上,幾多迷茫,幾多惆悵。時(shí)常會(huì)遇到問題,找不到解決的辦法,也找不到可以請教的人。把問題堆積在一起,慢慢覺得學(xué)習(xí)FPGA太難,懷疑是不是要繼續(xù)下去………………在FPGA學(xué)習(xí)的路上,幾多歡喜,幾多
2012-02-24 10:33:24

深入學(xué)習(xí)并以致用

電子的深入學(xué)習(xí)沒有止境,同時(shí)需要系統(tǒng)性的基礎(chǔ)學(xué)習(xí),以此作為指南是個(gè)較好的參考,可以全面、系統(tǒng)地有根本性提升,也希望用學(xué)到的知識(shí)與身邊同行一起相互探討,一起前行。
2023-04-04 09:55:11

Arduino學(xué)習(xí)日記點(diǎn)亮RGB

自帶 LED今天第學(xué)習(xí)arduino,從網(wǎng)上隨便找了個(gè)點(diǎn)燈程序,不需要任何配件,直接個(gè)Arduino uno板就可。/*Blink等待秒鐘,點(diǎn)亮LED,再等待秒鐘,熄滅LED,如此循環(huán)
2022-08-11 19:09:19

EDA版塊和你一起成長

EDA版塊和你一起成長 很榮慶的邀請到@qgg1006 @2530340917 @eda-layout 加入我們版主行列,讓EDA版塊有了新的生命。 目前EDA版塊有三位在位版主分別是資深版主
2014-11-07 15:22:14

EE對話#3| 本期嘉賓:小FPGA開發(fā)板設(shè)計(jì)者雪松

隨著智能硬件、工業(yè)4.0對智能控制功能的要求越來越高,如何選擇款高性價(jià)比的FPGA成了很多用戶頭痛的問題,如何進(jìn)行快速的FPGA選型,以及快速學(xué)習(xí)、快速開發(fā)是產(chǎn)品設(shè)計(jì)時(shí)首要考慮的棘手問題。本周
2015-12-08 16:38:09

LabVIEW點(diǎn)亮LED實(shí)現(xiàn)閃爍、跑馬燈效果

LED跑馬燈效果思考:怎么通過上位機(jī)編程,實(shí)現(xiàn)每個(gè)開關(guān)控制每盞LED?六、注意事項(xiàng):能使電腦識(shí)別出板卡正確連接LED功能區(qū)與采集卡引出口初次編程,容易出現(xiàn)死機(jī),請仔細(xì)檢查程序附件有源程序代碼分享給小伙伴們下載學(xué)習(xí)!今天的簡單分享就到這里啦,讓我們一起學(xué)習(xí)一起交流,一起進(jìn)步吧!
2022-08-25 16:44:43

STM32F429固件庫怎樣去點(diǎn)亮LED

學(xué)習(xí)筆記--固件庫點(diǎn)亮LED
2021-08-24 06:44:31

protues怎么深入學(xué)習(xí)?。〖鼻?!

protues怎么深入學(xué)習(xí)!!急求!
2012-11-27 23:07:58

《愛上FPCA開發(fā)——特權(quán)和你一起學(xué)NIOSⅡ》電子版下載

——特權(quán)和你一起學(xué)NIOSⅡ》的主要讀者對象為電子、計(jì)算機(jī)、控制及信息等相關(guān)專業(yè)的在校學(xué)生,從事FPGA開發(fā)設(shè)計(jì)的電子工程師以及所有電子設(shè)計(jì)制作的愛好者們。 目錄第1章 海闊天空聊概念1.1 CPU軟核
2015-02-11 10:58:06

【"小 AC620V2 FPGA 開發(fā)板"免費(fèi)試用】Part1:開箱

`1.簡介非常感謝小的活動(dòng),得到“小AC620v2 FPGA開發(fā)板”的試用機(jī)會(huì),等待了許久,開發(fā)板終于到了。句話非常給力。試用主要針對圖像相關(guān)處理做學(xué)習(xí)開發(fā),后續(xù)會(huì)陸續(xù)更新。2.開箱開發(fā)板
2020-10-25 20:16:08

【"小 AC620V2 FPGA 開發(fā)板"免費(fèi)試用】小AC620開發(fā)板全套資料下載鏈接

您解答,讓您在學(xué)習(xí)過程中少走彎路。以下為技術(shù)支持群內(nèi)的日常{:12:}二、資料說明1、《盤A_小AC620FPGA開發(fā)板標(biāo)準(zhǔn)配套資料》,里面包含了開發(fā)板使用說明書,入手視頻教程,實(shí)例源碼等。2
2020-10-15 10:09:21

【AC620 FPGA開發(fā)板試用預(yù)熱貼】自寫以太網(wǎng)傳輸代碼,實(shí)現(xiàn)以太網(wǎng)的圖像采集傳輸【小力作】

,得到24位色的高質(zhì)量真彩色圖像。 歡迎感興趣的朋友一起加入我們,一起學(xué)習(xí),共同進(jìn)步。該部分代碼僅開放給板卡使用者喲。 AC620開發(fā)板是個(gè)功能齊全,接口豐富,性能優(yōu)異,外觀精美,兼容性強(qiáng),教學(xué)資源
2017-06-14 11:51:47

【AC620 FPGA試用體驗(yàn)】+以太網(wǎng)攝像頭圖像傳輸初體驗(yàn)

` 本帖最后由 小 于 2017-7-27 10:52 編輯 話接上次拿到論壇與小一起舉辦的開發(fā)板試用活動(dòng),在群跟論壇里面搜到好多配套的資料以及教學(xué)視頻。最近又聽說小快出書了,這樣
2017-07-27 10:42:47

【AC620 FPGA試用申請】對小FPGA學(xué)習(xí)研究

項(xiàng)目名稱:對小FPGA學(xué)習(xí)研究試用計(jì)劃:接觸嵌入式的東西有了段時(shí)間了,對51,32以及Linux有了定了解,但對于FPGA來說還是個(gè)完全陌生的領(lǐng)域,希望通過這次試用能夠?qū)?b class="flag-6" style="color: red">FPGA進(jìn)行學(xué)習(xí)
2017-07-06 16:20:02

【小 AC620V2 FPGA 開發(fā)板試用體驗(yàn)】小 AC620V2開箱貼,太贊了(1)

` 感謝電子發(fā)燒友和小,給的這次心意開發(fā)板的試用機(jī)會(huì)。 盒子非常精美,小真是太用心了,這樣開發(fā)板和飛天茅臺(tái)樣,值得收藏。配件相當(dāng)豐富,慷慨??!開發(fā)板的正面:接口相當(dāng)豐富 元器件布局合理
2020-10-30 22:15:43

【小FPGA】《FPGA自學(xué)筆記——設(shè)計(jì)與驗(yàn)證》書配套所有工程源碼

本帖最后由 小 于 2018-6-1 09:59 編輯 附件為小團(tuán)隊(duì)編寫的《FPGA自寫筆記——設(shè)計(jì)與驗(yàn)證》書源碼工程文件。有網(wǎng)友反映兩個(gè)壓縮包是樣的,嘻嘻,實(shí)際上,論壇限制了附件
2018-05-03 10:50:34

【小FPGA】【原創(chuàng)精華】78頁USB3.0開發(fā)資料文檔,附Verilog的FPGA應(yīng)用代碼

方式??勺鳛閁SB3.0開發(fā)的參考手冊。歡迎大家下載學(xué)習(xí)。如有問題,可以聯(lián)系小反饋:xiaomeige_fpga@foxmail.com開源不易,歡迎大家下載學(xué)習(xí)。電子發(fā)燒友論壇 FPGA板塊版主
2017-08-10 14:35:52

【小FPGA】基于FPGA的CCD攝像頭圖像采集系統(tǒng)(附源碼)

本帖最后由 小 于 2017-9-24 14:28 編輯 說明,個(gè)基于FPGA的CCD攝像頭圖像采集系統(tǒng),CCD攝像頭就是某寶常見的二三十塊的汽車后視攝像頭。攝像頭的PAL解碼模塊為
2017-09-24 14:24:28

【小FPGA】部分精華教程或設(shè)計(jì)實(shí)例合集(以太網(wǎng)、FB、USB、攝像頭)

【AC620 FPGA試用體驗(yàn)】+以太網(wǎng)傳圖幀緩存系統(tǒng)設(shè)計(jì) 附工程源碼(結(jié)項(xiàng))https://bbs.elecfans.com/jishu_1382625_1_1.html【小2017力作】詳細(xì)
2017-10-01 10:01:35

【小FPGA進(jìn)階教程】第四章 數(shù)碼管動(dòng)態(tài)掃描驅(qū)動(dòng)設(shè)計(jì)與驗(yàn)證

的IO上給出低電平,三極管便會(huì)導(dǎo)通。而三組LED中所有的相同編號(hào)的LED的負(fù)極被連接在了一起,并接到了FPGA的IO上。如果我們希望將最左邊組的led0、led5、led7三個(gè)編號(hào)的led點(diǎn)亮,其它
2017-01-12 23:23:53

【小e物聯(lián)網(wǎng)試用體驗(yàn)】Hank的小e學(xué)習(xí)歷程3——點(diǎn)亮LED

以前只做過單片機(jī)的開發(fā),小e的開發(fā)環(huán)境有很大不同,步步摸索中先進(jìn)行第個(gè)實(shí)驗(yàn)點(diǎn)亮LED,在此感謝@盼盼2333 @覆水 的帖子。點(diǎn)亮過程及程序參考@覆水 的帖子【小e物聯(lián)網(wǎng)試用體驗(yàn)】 菜鳥學(xué)習(xí)
2016-06-02 10:38:46

【小編推薦】小FPGA視頻教程匯總貼!

`小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程全集播放地址:http://t.elecfans.com/452.html1.【連載視頻教程()】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之科學(xué)的開發(fā)流程
2016-01-19 11:07:37

【干貨+視頻】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程時(shí)隔半年,再次出新。第講串口發(fā)送應(yīng)用(字符串、16位數(shù))

集【小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之紅外解碼設(shè)計(jì)】,已經(jīng)大半年過去了。如今,【小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程】再次強(qiáng)勢歸來,以嶄新的教學(xué)模式,同大家一起學(xué)習(xí),一起進(jìn)步。視頻教程已經(jīng)發(fā)布
2016-09-02 21:51:21

【有獎(jiǎng)活動(dòng)】試看小fpga設(shè)計(jì)思想與驗(yàn)證方法視頻

的衍生),步帶領(lǐng)大家入門fpga開發(fā),為后續(xù)深入學(xué)習(xí)打下良好的基礎(chǔ)。希望大家觀看并積極提出建議和意見,小將評選出評論最有價(jià)值的前三名,每人獎(jiǎng)勵(lì)20元話費(fèi),并隨機(jī)再抽取5名參與回復(fù)的筒子,獎(jiǎng)勵(lì)20
2015-05-24 20:15:22

【良心奉獻(xiàn)**第課GHRD工程搭建】小SOPC公開課隨堂工程源碼,使用Quartus II 13.0編輯,干貨

附件為小SOPC公開課第課GHRD工程搭的建隨堂工程。小SOPC公開課第課主要講解完整的基于NIOS II系統(tǒng)的搭建以及軟件的調(diào)試和運(yùn)行。課程深入細(xì)致的講解了使用Qsys搭建SOPC系統(tǒng)
2016-08-13 10:55:25

【芯航線FPGA學(xué)習(xí)平臺(tái)教程資料匯總帖】每日更新(16年4月9日已更新)

步】小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程()【新手小項(xiàng)目推薦】小和你一起深入學(xué)習(xí)FPGAPS2鍵盤驅(qū)動(dòng)【新手小項(xiàng)目推薦】小和你一起深入學(xué)習(xí)FPGA數(shù)碼管動(dòng)態(tài)掃描 【新手小項(xiàng)
2015-09-16 20:33:30

【芯航線ainFPGA開發(fā)板學(xué)習(xí)筆記】獲獎(jiǎng)啦!曬曬收到的小獎(jiǎng)勵(lì)的高速VGA模塊

` 十月底到十一月初參加小發(fā)起的“秀筆記,贏獎(jiǎng)品”的活動(dòng)。獲獎(jiǎng)啦!獲獎(jiǎng)啦!獲獎(jiǎng)啦!高興的事情說三遍。希望以后多多舉行這樣的活動(dòng)。話說從開始逛論壇到現(xiàn)在首次獲獎(jiǎng)。對此,本人的內(nèi)心是激動(dòng)地,對小
2015-11-11 20:28:24

【芯航線小眾籌1元FPGA開發(fā)板】除了感謝和幸運(yùn)后的思考

,感謝電子發(fā)燒友.....我本是名普普通通的電子專業(yè)大二學(xué)生,在課余學(xué)習(xí)STM32.....在茫茫電子世界中遨游困倦,偶然讀到小寫自己大學(xué)生活的帖子,頓生共鳴??吹叫?b class="flag-6" style="color: red">梅目前在做FPGA的板子
2015-10-23 13:44:10

【超強(qiáng)大學(xué)習(xí)資料推送】小帶你一起學(xué)習(xí)FPGA

設(shè)計(jì)流程()小和你一起深入學(xué)習(xí)FPGAmif文件的制作小和你一起深入學(xué)習(xí)FPGADAC驅(qū)動(dòng)小和你一起深入學(xué)習(xí)FPGA獨(dú)立按鍵檢測
2014-12-02 15:41:13

【連載視頻教程()】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之科學(xué)的開發(fā)流程

大家好,從今天,小將正式連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮0基礎(chǔ)朋友的實(shí)際情況,手把手帶領(lǐng)學(xué)習(xí)者分析思路、編寫代碼
2015-09-19 16:23:18

【連載視頻教程(七)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之例說狀態(tài)機(jī)

本帖最后由 小 于 2016-1-18 12:55 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-25 12:26:01

【連載視頻教程(九)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之獨(dú)立按鍵控制LED與亞穩(wěn)態(tài)問題引入

本帖最后由 小 于 2016-1-18 12:56 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-29 14:27:58

【連載視頻教程(二)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之3-8譯碼器設(shè)計(jì)驗(yàn)證

本帖最后由 小 于 2016-1-18 12:49 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-21 10:02:47

【連載視頻教程(八)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之基于狀態(tài)機(jī)的獨(dú)立按鍵消抖

本帖最后由 小 于 2016-1-18 12:55 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-29 14:19:42

【連載視頻教程(六)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之例解阻塞賦值與非阻塞賦值

本帖最后由 小 于 2016-1-18 12:51 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-24 14:02:15

【連載視頻教程(十)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之?dāng)?shù)碼管動(dòng)態(tài)掃描設(shè)計(jì)與實(shí)現(xiàn)

本帖最后由 小 于 2016-1-18 12:56 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-30 15:56:57

【連載視頻教程(十一)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之UART串口發(fā)送模塊設(shè)計(jì)與驗(yàn)證

本帖最后由 小 于 2016-1-18 12:57 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-30 16:10:18

【連載視頻教程(十七)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之使用PLL進(jìn)行設(shè)計(jì)+Verilog參數(shù)化設(shè)計(jì)介紹

本帖最后由 小 于 2016-1-18 13:00 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-11-11 09:15:48

【連載視頻教程(十二)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之UART串口接收模塊設(shè)計(jì)與驗(yàn)證

本帖最后由 小 于 2016-1-18 12:57 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-10-13 14:45:31

【重磅】小FPGA暑期培訓(xùn)視頻預(yù)覽版

開源,大家也未必會(huì)珍惜。視頻和之前小的《FPGA設(shè)計(jì)思想與驗(yàn)證方法》視頻教程風(fēng)格差別很大,這個(gè)視頻里很少有現(xiàn)場編寫完整代碼的過程了,都是只寫關(guān)鍵的地方,剩下的留給學(xué)員自己去思考。學(xué)習(xí)起來有定難度
2017-09-22 22:54:21

【高手問答】與搞電源小哥一起揭秘電源設(shè)計(jì)那些難點(diǎn)

19期——看sushu如何在三年內(nèi)精通LabVIEW數(shù)據(jù)庫開發(fā)【高手問答】第18期——9年IC布局工程師來做客,聊聊PADS、信號(hào)完整性【高手問答】第17期——小和你一起深入學(xué)習(xí) FPGA【高手
2019-11-13 15:04:06

【高手問答】第17期:小和你一起深入學(xué)習(xí) FPGA

一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程(上)小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程()小和你一起深入學(xué)習(xí)FPGAmif文件的制作小和你一起深入學(xué)習(xí)FPGA獨(dú)立按鍵檢測 若是
2014-11-21 14:47:53

使用STM32點(diǎn)亮LED的方法

摘要:不知道小伙伴們點(diǎn)亮過多少板子的LED,有很多小伙伴留言說講一下stm32、fpga、liunx他們之間有什么不同,不同點(diǎn)很多,口說無憑,今天就來點(diǎn)亮一下stm32、fpga和liunx板子
2021-11-29 06:14:28

初學(xué)FPGA,跟著小走。

,也沒什么任務(wù),我就自己學(xué)習(xí)FPGA,跟著小視頻學(xué)習(xí)。 無意在開源電子網(wǎng)發(fā)現(xiàn)小的帖子,附有視頻,我就下載集認(rèn)真看了,發(fā)現(xiàn)這個(gè)小和我的偶像郭天祥老師有很多的相似處,仿佛又個(gè)郭天祥老師
2015-11-03 21:31:23

如何深入學(xué)習(xí)Labview?

基礎(chǔ)的知識(shí)都懂了 但是深入學(xué)習(xí)卻不知如何下手。。。手中有本教材 深入太難了 很難學(xué)的。。。{:23:}
2012-06-05 15:23:06

如何點(diǎn)亮個(gè)LED

簡言之,學(xué)習(xí)單片機(jī)編程,類似學(xué)習(xí)硬件驅(qū)動(dòng)。如何點(diǎn)亮個(gè)LED,等同于驅(qū)動(dòng)個(gè)LED,再復(fù)雜些可以控制LED亮滅,更復(fù)雜的是遠(yuǎn)程控制LED。這些是也算是操作系統(tǒng)技術(shù)的部分,所以課程名字又叫
2022-01-25 07:43:38

如何點(diǎn)亮個(gè)LED

如何點(diǎn)亮個(gè)LED?
2022-01-17 08:33:18

如何點(diǎn)亮LED

上周我們一起學(xué)習(xí)了如何點(diǎn)亮盞小,今天我們繼續(xù)學(xué)習(xí)。由于我們上周已經(jīng)詳細(xì)的講了如何新建51工程,以及如何破解51keil、如何下載工程,如果還是有不會(huì)的同學(xué),請繼續(xù)閱讀我以前的鏈接,今天我們在這里
2021-07-14 06:06:27

如何通過編程點(diǎn)亮個(gè)LED?

如何通過編程點(diǎn)亮個(gè)LED
2021-10-20 07:12:37

FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程課程大綱出爐,歡迎拍磚

:基本FPGA開發(fā)流程(理論介紹);二選數(shù)據(jù)選擇器(實(shí)現(xiàn)點(diǎn)亮LED)(需求分析->建立工程->設(shè)計(jì)輸入->分析綜合->testbench編寫->功能仿真->布局布線
2015-06-15 22:01:45

和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程之PDF

看了《小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程》受益匪淺,所以做個(gè)pdf方便大家。
2015-02-04 09:39:22

和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程(

本帖最后由 小 于 2014-11-10 12:43 編輯 上接“小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程()” 這里我們選中Verilog HDL File,點(diǎn)擊OK,即可新建
2014-11-10 12:40:31

和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程(上)

– New來打開新建文件選擇卡,新建文件選項(xiàng)卡如下所示, 余下內(nèi)容請參看“小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程()”
2014-11-09 18:19:23

和你一起深入學(xué)習(xí)FPGADAC驅(qū)動(dòng)

本帖最后由 小 于 2014-11-25 16:43 編輯 本實(shí)驗(yàn)中,我們使用FPGA來驅(qū)動(dòng)了片DAC芯片TLC5620,該芯片的特性如下所示: TLC5620特性: 4路8位電壓輸出
2014-11-25 16:36:28

和你一起深入學(xué)習(xí)FPGAPS2鍵盤驅(qū)動(dòng)

本帖最后由 小 于 2014-12-25 21:04 編輯 [tr][td]小和你一起深入學(xué)習(xí)FPGAPS2鍵盤驅(qū)動(dòng) 在我們的電子系統(tǒng)中,當(dāng)需要用到大量的按鍵輸入時(shí),普通的獨(dú)立按鍵
2014-12-25 20:56:03

和你一起深入學(xué)習(xí)FPGAmif文件的制作

實(shí)現(xiàn)信號(hào)發(fā)生器的功能。小的DDS實(shí)驗(yàn)已經(jīng)做完,目前還沒有進(jìn)行文檔的編寫。朋友今天邀請我為他制作個(gè)1024點(diǎn)的16位的正弦波mif文件,實(shí)現(xiàn)之后,發(fā)現(xiàn)過程中涉及到MATLAB軟件、Excel軟件
2014-11-10 00:01:24

和你一起深入學(xué)習(xí)FPGAword文檔中加入代碼的方法

本帖最后由 小 于 2016-4-11 18:37 編輯 相信有很多同學(xué)都和小樣,喜歡把自己的學(xué)習(xí)經(jīng)歷和技術(shù)經(jīng)驗(yàn)通過文檔的方式記錄下來,其可以發(fā)布到各大論壇,以和其它同樣熱愛技術(shù)
2015-01-27 19:11:15

和你一起深入學(xué)習(xí)FPGA串口調(diào)試(

本帖最后由 小 于 2014-12-27 14:45 編輯 大家好,這幾天在各個(gè)論壇上,經(jīng)常就有人在向我咨詢基于FPGA的串口通信代碼,大部分都是在網(wǎng)上下載個(gè)現(xiàn)成的代碼,但是在使用中就
2014-12-26 22:11:42

和你一起深入學(xué)習(xí)FPGA基于串口獵人虛擬示波器

` 本帖最后由 小 于 2015-4-7 20:09 編輯 大家好,久違了。前段時(shí)間小直在公司進(jìn)行資料的整理優(yōu)化。每天都很忙,所以好久都沒來論壇上了。今天,終于抽出點(diǎn)兒時(shí)間,再來論壇上
2015-04-07 19:54:22

和你一起深入學(xué)習(xí)FPGA數(shù)碼管動(dòng)態(tài)掃描

` 本帖最后由 小 于 2015-4-2 17:29 編輯 小和你一起深入學(xué)習(xí)FPGA數(shù)碼管動(dòng)態(tài)掃描 在電子系統(tǒng)中,通常都需要有輸出設(shè)備來輸出或顯示定的信息,以指示當(dāng)前系統(tǒng)運(yùn)行的狀態(tài)
2014-12-30 13:34:46

和你一起深入學(xué)習(xí)FPGA獨(dú)立按鍵檢測

本帖最后由 小 于 2014-11-10 13:05 編輯 幾乎沒有哪個(gè)系統(tǒng)沒有輸入輸出設(shè)備,大到顯示器,小到led,輕觸按鍵。作為個(gè)系統(tǒng),要想穩(wěn)定的工作,輸入輸出設(shè)備的性能占了很重
2014-11-10 12:59:42

和你一起深入學(xué)習(xí)FPGA之初學(xué)者指南

本帖最后由 小 于 2015-12-14 08:56 編輯 做電子發(fā)燒友論壇FPGA板塊的版主也有快個(gè)月了,感謝總版主給我的諸多照顧,尤其是高手問答環(huán)節(jié),讓我真正了解到了很多初學(xué)者的困惑
2014-12-06 13:13:41

和你一起深入學(xué)習(xí)FPGA匯總帖(2月2日更新)

獨(dú)立按鍵檢測https://bbs.elecfans.com/jishu_457437_1_1.html小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程()https
2015-01-13 00:45:37

求射頻等基帶畫板深入學(xué)習(xí)資料?

求射頻等基帶畫板深入學(xué)習(xí)資料?求推薦視頻與電子版資料
2020-08-10 07:32:53

求推薦深入學(xué)習(xí)C語言的書

本人學(xué)習(xí)完譚浩強(qiáng)的C程序設(shè)計(jì)了,想再深入學(xué)習(xí)C語言,想找本可以學(xué)習(xí)的書,有什么推薦嘛?
2014-10-23 14:10:03

求資料,小FPGA AC620

同事送了我塊小FPGA AC620,求資料, 芯片手冊我剛剛已經(jīng)下載到了,有幾個(gè)引腳能直接看到連到哪個(gè)位置,但有些孔分辨不清連通到哪 有原理圖就行,或者pcb圖紙,我自己做個(gè)完整的原理圖 上電試了簡單功能,感覺不錯(cuò),如果有完整的原理圖,應(yīng)該能做很多東西
2018-04-24 08:20:08

熱烈歡迎FPGA版塊新版主上任?。?!

了三個(gè)月的fpga技術(shù)學(xué)習(xí)現(xiàn)正在進(jìn)行fpga技術(shù)學(xué)習(xí)心得的整理和歸納,《小和你一起深入學(xué)習(xí)Fpga系列》。座右銘:學(xué)無止境,治學(xué)嚴(yán)謹(jǐn),學(xué)以致用論壇賬號(hào):sxlq_wf 簡介:2012年研究生畢業(yè)后加入
2014-11-07 16:44:00

至芯昭帶你學(xué)FPGA FPGA_100天之旅_呼吸

至芯昭帶你學(xué)FPGA FPGA_100天之旅_呼吸
2017-08-22 09:54:41

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_AD設(shè)計(jì)

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_AD設(shè)計(jì)本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅
2017-10-25 18:26:08

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_FIFO設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_FIFO設(shè)計(jì)今天內(nèi)容為基于FPGA的FIFO設(shè)計(jì),詳細(xì)內(nèi)容看以下
2017-09-26 09:34:31

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_PS2設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_FIFO設(shè)計(jì)今天內(nèi)容為基于FPGA的PS2設(shè)計(jì),詳細(xì)內(nèi)容請見以下
2017-10-06 10:57:19

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_VGA的設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_VGA的設(shè)計(jì)今天內(nèi)容為基于FPGA的VGA的設(shè)計(jì),詳細(xì)內(nèi)容請見以下
2017-10-23 01:24:39

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_串口設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_串口設(shè)計(jì)今天內(nèi)容為基于FPGA的串口設(shè)計(jì),詳細(xì)內(nèi)容看以下文件,文檔
2017-10-24 11:48:34

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_矩陣鍵盤設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_矩陣鍵盤設(shè)計(jì)今天內(nèi)容為基于FPGA的矩陣鍵盤設(shè)計(jì),詳細(xì)內(nèi)容請見以下
2017-10-17 10:06:13

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_計(jì)算器設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_計(jì)算器設(shè)計(jì)今天內(nèi)容為基于FPGA的計(jì)算器設(shè)計(jì),詳細(xì)內(nèi)容請見以下
2017-10-18 11:25:33

至芯科技昭帶你學(xué)FOGAFPGA_100天之旅_音樂蜂鳴器設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來源:至芯科技昭帶你學(xué)FOGAFPGA_100天之旅_音樂蜂鳴器設(shè)計(jì)今天內(nèi)容為基于FPGA的音樂蜂鳴器設(shè)計(jì),詳細(xì)內(nèi)容看
2017-09-25 10:08:19

請問小 Text Editor 那里有下載

請問小 Text Editor 那里有下載下了個(gè),但和你所用的不
2016-04-09 10:28:24

單片機(jī)視頻教程13:UART 通信深入學(xué)習(xí)

《手把手教你學(xué)單片機(jī)》單片機(jī)視頻教程13:UART 通信深入學(xué)習(xí) 單片機(jī)視頻教程13:UART 通信深入學(xué)習(xí) 1、單片機(jī)控制功能 2、UART異步和IIC的同步 3、EEPROM的地址 4、EEPROM的讀寫時(shí)序問題
2012-08-21 09:47:387579

openwrt深入學(xué)習(xí)筆記

openwrt深入學(xué)習(xí)筆記 網(wǎng)上也很多,這里給大家上傳一個(gè),免費(fèi)供大家學(xué)習(xí)參考
2015-11-17 16:23:4270

深入學(xué)習(xí)ARM Cortex-M3的權(quán)威級

深入學(xué)習(xí)ARM Cortex-M3的權(quán)威級
2017-10-15 10:02:569

深入學(xué)習(xí):一文了解程序設(shè)計(jì)實(shí)踐

C語言深入學(xué)習(xí)資料
2018-03-10 10:59:063

小梅哥和你一起深入學(xué)習(xí)FPGA之?dāng)?shù)碼管動(dòng)態(tài)掃描(上)

關(guān)鍵詞:FPGA , ARM 在電子系統(tǒng)中,通常都需要有輸出設(shè)備來輸出或顯示一定的信息,以指示當(dāng)前系統(tǒng)運(yùn)行的狀態(tài)。在以單片機(jī)和ARM為主的電子系統(tǒng)中,液晶屏是理想的輸出設(shè)備。而FPGA則因?yàn)槠洫?dú)特
2018-09-26 07:29:02417

梅哥和你一起深入學(xué)習(xí)FPGA之獨(dú)立按鍵檢測(下)

關(guān)鍵詞:FPGA , 按鍵檢測 八、 仿真分析 由上圖仿真結(jié)果可知,當(dāng)有按鍵按下時(shí),需要較長一段時(shí)間后,Key_Flag會(huì)有一個(gè)高電平脈沖,同時(shí)Key_Value更新為輸入按鍵的反碼。 為了確定
2018-09-26 07:31:01272

小梅哥和你一起深入學(xué)習(xí)FPGA之獨(dú)立按鍵檢測(上)

關(guān)鍵詞:FPGA , 按鍵檢測 幾乎沒有哪一個(gè)系統(tǒng)沒有輸入輸出設(shè)備,大到顯示器,小到led燈,輕觸按鍵。作為一個(gè)系統(tǒng),要想穩(wěn)定的工作,輸入輸出設(shè)備的性能占了很重要的角色。本實(shí)驗(yàn),小梅哥就通過一個(gè)獨(dú)立
2018-09-26 07:40:01459

小梅哥和你一起深入學(xué)習(xí)FPGA點(diǎn)亮LED燈(上)

無法直觀的展示出來。也算是為后續(xù)實(shí)驗(yàn)做鋪墊吧,第一個(gè)實(shí)驗(yàn)就安排成了點(diǎn)亮LED燈。 一、 實(shí)驗(yàn)?zāi)康?實(shí)現(xiàn)4個(gè)LED燈的亮滅控制 二、 實(shí)驗(yàn)原理 LED燈的典型電路如下2-1所示,我們控制led燈的亮滅,實(shí)質(zhì)就是去控制FPGA的IO輸給LED負(fù)極一個(gè)低電平或者高電平。
2018-09-26 07:52:011310

小梅哥和你一起深入學(xué)習(xí)FPGA之?dāng)?shù)碼鐘(下)

關(guān)鍵詞:FPGA , 數(shù)碼鐘 圖中存在較多的模塊,因此在此將每個(gè)模塊的功能做簡單介紹: 另外,Clock_Control模塊為綜合模塊,內(nèi)部包含了時(shí)、分、秒、時(shí)鐘計(jì)數(shù)器模塊和時(shí)間設(shè)定模塊,該模塊
2018-09-26 07:59:02217

小梅哥和你一起深入學(xué)習(xí)FPGA之?dāng)?shù)碼鐘(上)

關(guān)鍵詞:FPGA , 數(shù)碼鐘 一、 實(shí)驗(yàn)?zāi)康?實(shí)現(xiàn)數(shù)碼時(shí)鐘的功能,要求能夠進(jìn)行24時(shí)制時(shí)、分、秒的顯示,并能夠通過按鍵調(diào)整時(shí)間。 二、 實(shí)驗(yàn)原理 通過對系統(tǒng)時(shí)鐘進(jìn)行計(jì)數(shù),獲得1S的標(biāo)準(zhǔn)信號(hào),再以
2018-09-26 09:05:01230

小梅哥和你一起深入學(xué)習(xí)FPGA之?dāng)?shù)碼管動(dòng)態(tài)掃描(下)

關(guān)鍵詞:FPGA , 動(dòng)態(tài)掃描 測試平臺(tái)設(shè)計(jì) 本實(shí)驗(yàn)主要對數(shù)碼管驅(qū)動(dòng)引腳的狀態(tài)與預(yù)期進(jìn)行比較和分析,通過仿真,驗(yàn)證設(shè)計(jì)的正確性和合理性。數(shù)碼管驅(qū)動(dòng)模塊的testbench如下所示
2018-10-01 15:35:01259

精通Python的深入學(xué)習(xí)資料

精通Python的深入學(xué)習(xí)資料:從多方面來了解Python的特性和用法。
2020-07-07 15:08:1240

已全部加載完成