電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>小梅哥和你一起深入學(xué)習(xí)FPGA之?dāng)?shù)碼鐘(上)

小梅哥和你一起深入學(xué)習(xí)FPGA之?dāng)?shù)碼鐘(上)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

2015年度,論壇FPGA版塊精華帖匯總!

1.小和你一起深入學(xué)習(xí)FPGA匯總帖(2月2日更新)https://bbs.elecfans.com/jishu_465574_1_1.html2.FPGA零基礎(chǔ)入門PPT課件https
2016-01-26 11:58:01

FPGA獨(dú)立按鍵檢測(cè)

和你一起深入學(xué)習(xí)FPGA src.rar (2.89 KB )
2019-01-30 00:22:47

一起來(lái)學(xué)習(xí)FPGA,FPGA學(xué)習(xí)小組開(kāi)始招收成員,歡迎愛(ài)好者加入

學(xué)習(xí)的路上,幾多迷茫,幾多惆悵。時(shí)常會(huì)遇到問(wèn)題,找不到解決的辦法,也找不到可以請(qǐng)教的人。把問(wèn)題堆積在一起,慢慢覺(jué)得學(xué)習(xí)FPGA太難,懷疑是不是要繼續(xù)下去………………在FPGA學(xué)習(xí)的路上,幾多歡喜,幾多
2012-02-24 10:33:24

一起來(lái)學(xué)習(xí)FPGA,歡迎愛(ài)好者加入QQ群199362558

一起來(lái)學(xué)習(xí)FPGA,FPGA學(xué)習(xí)小組開(kāi)始招收成員,歡迎愛(ài)好者加入 FPGA技術(shù)交流群:199362558
2012-02-27 15:25:23

深入學(xué)習(xí)并以致用

電子的深入學(xué)習(xí)沒(méi)有止境,同時(shí)需要系統(tǒng)性的基礎(chǔ)學(xué)習(xí),以此作為指南是個(gè)較好的參考,可以全面、系統(tǒng)地有根本性提升,也希望用學(xué)到的知識(shí)與身邊同行一起相互探討,一起前行。
2023-04-04 09:55:11

EDA版塊和你一起成長(zhǎng)

EDA版塊和你一起成長(zhǎng) 很榮慶的邀請(qǐng)到@qgg1006 @2530340917 @eda-layout 加入我們版主行列,讓EDA版塊有了新的生命。 目前EDA版塊有三位在位版主分別是資深版主
2014-11-07 15:22:14

EE對(duì)話#3| 本期嘉賓:小FPGA開(kāi)發(fā)板設(shè)計(jì)者雪松

四(12 月 10 日) 晚 8 點(diǎn)到 9 點(diǎn),小FPGA開(kāi)發(fā)板設(shè)計(jì)者雪松將作客 EE對(duì)話,與大家交流。本期嘉賓介紹:雪松本科時(shí)曾參加三屆全國(guó)或省級(jí)大學(xué)生電子設(shè)計(jì)大賽,畢業(yè)后參加某FPGA著名
2015-12-08 16:38:09

protues怎么深入學(xué)習(xí)!!急求!

protues怎么深入學(xué)習(xí)?。〖鼻?!
2012-11-27 23:07:58

《愛(ài)上FPCA開(kāi)發(fā)——特權(quán)和你一起學(xué)NIOSⅡ》電子版下載

——特權(quán)和你一起學(xué)NIOSⅡ》的主要讀者對(duì)象為電子、計(jì)算機(jī)、控制及信息等相關(guān)專業(yè)的在校學(xué)生,從事FPGA開(kāi)發(fā)設(shè)計(jì)的電子工程師以及所有電子設(shè)計(jì)制作的愛(ài)好者們。 目錄第1章 海闊天空聊概念1.1 CPU軟核
2015-02-11 10:58:06

【"小 AC620V2 FPGA 開(kāi)發(fā)板"免費(fèi)試用】Part1:開(kāi)箱

`1.簡(jiǎn)介非常感謝小的活動(dòng),得到“小AC620v2 FPGA開(kāi)發(fā)板”的試用機(jī)會(huì),等待了許久,開(kāi)發(fā)板終于到了。句話非常給力。試用主要針對(duì)圖像相關(guān)處理做學(xué)習(xí)開(kāi)發(fā),后續(xù)會(huì)陸續(xù)更新。2.開(kāi)箱開(kāi)發(fā)板
2020-10-25 20:16:08

【"小 AC620V2 FPGA 開(kāi)發(fā)板"免費(fèi)試用】小AC620開(kāi)發(fā)板全套資料下載鏈接

您解答,讓您在學(xué)習(xí)過(guò)程中少走彎路。以下為技術(shù)支持群內(nèi)的日常{:12:}二、資料說(shuō)明1、《盤A_小AC620FPGA開(kāi)發(fā)板標(biāo)準(zhǔn)配套資料》,里面包含了開(kāi)發(fā)板使用說(shuō)明書(shū),入手視頻教程,實(shí)例源碼等。2
2020-10-15 10:09:21

【AC620 FPGA開(kāi)發(fā)板試用預(yù)熱貼】自寫(xiě)以太網(wǎng)傳輸代碼,實(shí)現(xiàn)以太網(wǎng)的圖像采集傳輸【小力作】

` 本帖最后由 小 于 2017-6-14 11:57 編輯 關(guān)于攝像頭接口 AC620開(kāi)發(fā)板設(shè)置了個(gè)通用CMOS攝像頭接口,可以連接市面上各種常見(jiàn)CMOS攝像頭,如OV7670(30萬(wàn)
2017-06-14 11:51:47

【AC620 FPGA試用申請(qǐng)】對(duì)小FPGA學(xué)習(xí)研究

項(xiàng)目名稱:對(duì)小FPGA學(xué)習(xí)研究試用計(jì)劃:接觸嵌入式的東西有了段時(shí)間了,對(duì)51,32以及Linux有了定了解,但對(duì)于FPGA來(lái)說(shuō)還是個(gè)完全陌生的領(lǐng)域,希望通過(guò)這次試用能夠?qū)?b class="flag-6" style="color: red">FPGA進(jìn)行學(xué)習(xí)
2017-07-06 16:20:02

【NanoPi NEO申請(qǐng)】嵌入式深入學(xué)習(xí)

申請(qǐng)理由:項(xiàng)目描述:學(xué)習(xí)計(jì)劃:1.嵌入式開(kāi)發(fā)板硬件驅(qū)動(dòng)學(xué)習(xí)2.輕量級(jí)系統(tǒng)移植學(xué)習(xí)3.在Nano pi neo這種小型板子的基礎(chǔ)開(kāi)發(fā)便捷式智能穿戴設(shè)備或者通信設(shè)備本人為大學(xué)學(xué)生,有定的嵌入式基礎(chǔ),嵌入式開(kāi)發(fā)板價(jià)格比較高,希望擁有塊輕量級(jí),便攜的開(kāi)發(fā)板深入學(xué)習(xí)。
2016-10-11 18:14:53

【小 AC620V2 FPGA 開(kāi)發(fā)板試用體驗(yàn)】小 AC620V2開(kāi)箱貼,太贊了(1)

` 感謝電子發(fā)燒友和小,給的這次心意開(kāi)發(fā)板的試用機(jī)會(huì)。 盒子非常精美,小真是太用心了,這樣開(kāi)發(fā)板和飛天茅臺(tái)樣,值得收藏。配件相當(dāng)豐富,慷慨??!開(kāi)發(fā)板的正面:接口相當(dāng)豐富 元器件布局合理
2020-10-30 22:15:43

【小FPGA】《FPGA自學(xué)筆記——設(shè)計(jì)與驗(yàn)證》書(shū)配套所有工程源碼

本帖最后由 小 于 2018-6-1 09:59 編輯 附件為小團(tuán)隊(duì)編寫(xiě)的《FPGA自寫(xiě)筆記——設(shè)計(jì)與驗(yàn)證》書(shū)源碼工程文件。有網(wǎng)友反映兩個(gè)壓縮包是樣的,嘻嘻,實(shí)際,論壇限制了附件
2018-05-03 10:50:34

【小FPGA】【原創(chuàng)精華】78頁(yè)USB3.0開(kāi)發(fā)資料文檔,附Verilog的FPGA應(yīng)用代碼

方式。可作為USB3.0開(kāi)發(fā)的參考手冊(cè)。歡迎大家下載學(xué)習(xí)。如有問(wèn)題,可以聯(lián)系小反饋:xiaomeige_fpga@foxmail.com開(kāi)源不易,歡迎大家下載學(xué)習(xí)。電子發(fā)燒友論壇 FPGA板塊版主
2017-08-10 14:35:52

【小FPGA】使用FPGA實(shí)現(xiàn)CAN通信的例子和詳細(xì)使用說(shuō)明(NIOS+CAN IP)

附件為使用FPGA實(shí)現(xiàn)兩路CAN接口進(jìn)行回環(huán)通信的工程文件。包含詳細(xì)的工程使用說(shuō)明文檔。在小的Starter FPGA開(kāi)發(fā)板驗(yàn)證通過(guò),CAN通信使用開(kāi)源的OC_CAN IP和VP230收發(fā)器實(shí)現(xiàn),上層應(yīng)用使用NIOS II實(shí)現(xiàn),使用非常方便。
2017-09-22 22:42:14

【小FPGA】基于FPGA的CCD攝像頭圖像采集系統(tǒng)(附源碼)

根據(jù)友晶DE2-115的PAL圖像解碼電路設(shè)計(jì)的個(gè)精簡(jiǎn)模塊,接口兼容市面上各種開(kāi)發(fā)板的攝像頭接口(只要不是廠家自己搞了個(gè)特殊接口,據(jù)了解,目前80%的FPGA開(kāi)發(fā)板都是用這種接口
2017-09-24 14:24:28

【小FPGA】部分精華教程或設(shè)計(jì)實(shí)例合集(以太網(wǎng)、FB、USB、攝像頭)

【AC620 FPGA試用體驗(yàn)】+以太網(wǎng)傳圖幀緩存系統(tǒng)設(shè)計(jì) 附工程源碼(結(jié)項(xiàng))https://bbs.elecfans.com/jishu_1382625_1_1.html【小2017力作】詳細(xì)
2017-10-01 10:01:35

【小FPGA進(jìn)階教程】第四章 數(shù)碼管動(dòng)態(tài)掃描驅(qū)動(dòng)設(shè)計(jì)與驗(yàn)證

作為FPGA系統(tǒng)的輸出設(shè)備。本節(jié),小就將和大家一起進(jìn)行數(shù)碼管驅(qū)動(dòng)的開(kāi)發(fā)。實(shí)驗(yàn)?zāi)康膶?shí)現(xiàn)6位7段數(shù)碼管的驅(qū)動(dòng),待顯示數(shù)據(jù)以BCD格式輸入。數(shù)碼管刷新時(shí)鐘為1KHz。實(shí)驗(yàn)使用了4個(gè)獨(dú)立按鍵作為輸入,通過(guò)按鍵來(lái)
2017-01-12 23:23:53

【小帶你做項(xiàng)目】基于DDS技術(shù)的信號(hào)發(fā)生器系統(tǒng)

各位FPGA用戶大家好,為了給本版塊營(yíng)造更良好的學(xué)習(xí)氛圍,現(xiàn)特邀請(qǐng)我們的版主小帶我們一起做項(xiàng)目【基于DDS技術(shù)的信號(hào)發(fā)生器系統(tǒng)】 歡迎大家一起跟帖交流學(xué)習(xí)!題目:設(shè)計(jì)個(gè)基于DDS技術(shù)的信號(hào)
2014-12-09 16:31:29

【小編推薦】小FPGA視頻教程匯總貼!

`小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程全集播放地址:http://t.elecfans.com/452.html1.【連載視頻教程()】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之科學(xué)的開(kāi)發(fā)流程
2016-01-19 11:07:37

【干貨+視頻】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程時(shí)隔半年,再次出新。第講串口發(fā)送應(yīng)用(字符串、16位數(shù))

集【小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之紅外解碼設(shè)計(jì)】,已經(jīng)大半年過(guò)去了。如今,【小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程】再次強(qiáng)勢(shì)歸來(lái),以嶄新的教學(xué)模式,同大家一起學(xué)習(xí),一起進(jìn)步。視頻教程已經(jīng)發(fā)布
2016-09-02 21:51:21

【有獎(jiǎng)活動(dòng)】試看小fpga設(shè)計(jì)思想與驗(yàn)證方法視頻

的衍生),步帶領(lǐng)大家入門fpga開(kāi)發(fā),為后續(xù)深入學(xué)習(xí)打下良好的基礎(chǔ)。希望大家觀看并積極提出建議和意見(jiàn),小將評(píng)選出評(píng)論最有價(jià)值的前三名,每人獎(jiǎng)勵(lì)20元話費(fèi),并隨機(jī)再抽取5名參與回復(fù)的筒子,獎(jiǎng)勵(lì)20
2015-05-24 20:15:22

【良心奉獻(xiàn)**第課GHRD工程搭建】小SOPC公開(kāi)課隨堂工程源碼,使用Quartus II 13.0編輯,干貨

附件為小SOPC公開(kāi)課第課GHRD工程搭的建隨堂工程。小SOPC公開(kāi)課第課主要講解完整的基于NIOS II系統(tǒng)的搭建以及軟件的調(diào)試和運(yùn)行。課程深入細(xì)致的講解了使用Qsys搭建SOPC系統(tǒng)
2016-08-13 10:55:25

【良心奉獻(xiàn)**第三課NIOS使用PIO與自定義Verilog邏輯通信】小SOPC公開(kāi)課隨堂工程源碼,使用PIO與Verilog數(shù)碼管通信

,以使FPGA配置文件和NIOS 軟件代碼能夠同時(shí)存入FLASH掉電不丟失,讓系統(tǒng)下次電時(shí)能夠正常啟動(dòng)。每個(gè)細(xì)節(jié)都有深入的介紹,知識(shí)點(diǎn)容量超多?,F(xiàn)開(kāi)放課堂隨堂工程,供各位參加或未參加課程的童鞋學(xué)習(xí)
2016-08-16 23:36:01

【芯航線FPGA學(xué)習(xí)平臺(tái)眾籌進(jìn)度帖】芯航線FPGA學(xué)習(xí)套件眾籌總結(jié)暨抽獎(jiǎng)結(jié)果

` 本帖最后由 小 于 2015-10-21 14:59 編輯 感謝大家的支持,經(jīng)過(guò)個(gè)月的眾籌時(shí)間,芯航線FPGA學(xué)習(xí)套件累計(jì)獲得59套,158名朋友的支持。其中,共有76位朋友支持1元
2015-10-21 14:56:55

【芯航線FPGA學(xué)習(xí)平臺(tái)眾籌進(jìn)度帖】芯航線FPGA學(xué)習(xí)平臺(tái)系統(tǒng)調(diào)試

FPGA開(kāi)發(fā)板前往觀展。 小2015年9月15日芯航線電子工作室進(jìn)度帖匯總:【芯航線FPGA學(xué)習(xí)平臺(tái)眾籌進(jìn)度帖】試看小fpga設(shè)計(jì)思想與驗(yàn)證方法視頻https://bbs.elecfans.com
2015-09-18 14:06:57

【芯航線FPGA學(xué)習(xí)平臺(tái)教程資料匯總帖】每日更新(16年4月9日已更新)

】小和你一起深入學(xué)習(xí)FPGA串口調(diào)試()【超經(jīng)典超詳細(xì)】小和你一起深入學(xué)習(xí)FPGA基于串口獵人虛擬示波器【科學(xué)開(kāi)始第步】小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程()【科學(xué)開(kāi)始
2015-09-16 20:33:30

【芯航線ainFPGA開(kāi)發(fā)板學(xué)習(xí)筆記】獲獎(jiǎng)啦!曬曬收到的小獎(jiǎng)勵(lì)的高速VGA模塊

` 十月底到十一月初參加小發(fā)起的“秀筆記,贏獎(jiǎng)品”的活動(dòng)。獲獎(jiǎng)啦!獲獎(jiǎng)啦!獲獎(jiǎng)啦!高興的事情說(shuō)三遍。希望以后多多舉行這樣的活動(dòng)。話說(shuō)從開(kāi)始逛論壇到現(xiàn)在首次獲獎(jiǎng)。對(duì)此,本人的內(nèi)心是激動(dòng)地,對(duì)小
2015-11-11 20:28:24

【芯航線小眾籌1元FPGA開(kāi)發(fā)板】除了感謝和幸運(yùn)后的思考

,感謝電子發(fā)燒友.....我本是名普普通通的電子專業(yè)大二學(xué)生,在課余學(xué)習(xí)STM32.....在茫茫電子世界中遨游困倦,偶然讀到小寫(xiě)自己大學(xué)生活的帖子,頓生共鳴??吹叫?b class="flag-6" style="color: red">梅目前在做FPGA的板子
2015-10-23 13:44:10

【超強(qiáng)大學(xué)習(xí)資料推送】小帶你一起學(xué)習(xí)FPGA!

點(diǎn)點(diǎn)的付出,希望大家有任何問(wèn)題,踴躍留言,讓小看到我們的努力!本帖將持續(xù)更新,歡迎鼓勵(lì)~~~!學(xué)習(xí)貼:小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程()小和你一起深入學(xué)習(xí)FPGAFPGA
2014-12-02 15:41:13

【連載視頻教程()】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之科學(xué)的開(kāi)發(fā)流程

大家好,從今天,小將正式連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮0基礎(chǔ)朋友的實(shí)際情況,手把手帶領(lǐng)學(xué)習(xí)者分析思路、編寫(xiě)代碼
2015-09-19 16:23:18

【連載視頻教程(七)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之例說(shuō)狀態(tài)機(jī)

本帖最后由 小 于 2016-1-18 12:55 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-25 12:26:01

【連載視頻教程(二)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之3-8譯碼器設(shè)計(jì)驗(yàn)證

本帖最后由 小 于 2016-1-18 12:49 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-21 10:02:47

【連載視頻教程(二十)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之HT6221紅外遙控解碼

` 本帖最后由 小 于 2016-1-18 13:02 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程
2015-12-28 17:11:57

【連載視頻教程(五)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之BCD碼計(jì)數(shù)器設(shè)計(jì)驗(yàn)證

本帖最后由 小 于 2016-1-18 12:50 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-23 12:39:23

【連載視頻教程(八)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之基于狀態(tài)機(jī)的獨(dú)立按鍵消抖

本帖最后由 小 于 2016-1-18 12:55 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-29 14:19:42

【連載視頻教程(六)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之例解阻塞賦值與非阻塞賦值

本帖最后由 小 于 2016-1-18 12:51 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-24 14:02:15

【連載視頻教程(十)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之數(shù)碼管動(dòng)態(tài)掃描設(shè)計(jì)與實(shí)現(xiàn)

本帖最后由 小 于 2016-1-18 12:56 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-30 15:56:57

【連載視頻教程(十一)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之UART串口發(fā)送模塊設(shè)計(jì)與驗(yàn)證

本帖最后由 小 于 2016-1-18 12:57 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-09-30 16:10:18

【連載視頻教程(十九)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之基于線性序列機(jī)設(shè)計(jì)思想的串行ADC驅(qū)動(dòng)

` 本帖最后由 小 于 2016-1-18 13:02 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程
2015-12-28 16:57:49

【連載視頻教程(十二)】小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程之UART串口接收模塊設(shè)計(jì)與驗(yàn)證

本帖最后由 小 于 2016-1-18 12:57 編輯 大家好,今天,小繼續(xù)連載本人精心錄制和編輯的FPGA學(xué)習(xí)系列教程——《小FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程》。教程充分考慮
2015-10-13 14:45:31

【重磅】小FPGA暑期培訓(xùn)視頻預(yù)覽版

開(kāi)源,大家也未必會(huì)珍惜。視頻和之前小的《FPGA設(shè)計(jì)思想與驗(yàn)證方法》視頻教程風(fēng)格差別很大,這個(gè)視頻里很少有現(xiàn)場(chǎng)編寫(xiě)完整代碼的過(guò)程了,都是只寫(xiě)關(guān)鍵的地方,剩下的留給學(xué)員自己去思考。學(xué)習(xí)起來(lái)有定難度
2017-09-22 22:54:21

【高手問(wèn)答】與搞電源小哥一起揭秘電源設(shè)計(jì)那些難點(diǎn)

19期——看sushu如何在三年內(nèi)精通LabVIEW數(shù)據(jù)庫(kù)開(kāi)發(fā)【高手問(wèn)答】第18期——9年IC布局工程師來(lái)做客,聊聊PADS、信號(hào)完整性【高手問(wèn)答】第17期——小和你一起深入學(xué)習(xí) FPGA【高手
2019-11-13 15:04:06

【高手問(wèn)答】第17期:小和你一起深入學(xué)習(xí) FPGA

一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程()小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程(下)小和你一起深入學(xué)習(xí)FPGAmif文件的制作小和你一起深入學(xué)習(xí)FPGA獨(dú)立按鍵檢測(cè) 若是
2014-11-21 14:47:53

初學(xué)FPGA,跟著小走。

,也沒(méi)什么任務(wù),我就自己學(xué)習(xí)FPGA,跟著小視頻學(xué)習(xí)。 無(wú)意在開(kāi)源電子網(wǎng)發(fā)現(xiàn)小的帖子,附有視頻,我就下載集認(rèn)真看了下,發(fā)現(xiàn)這個(gè)小和我的偶像郭天祥老師有很多的相似處,仿佛又個(gè)郭天祥老師
2015-11-03 21:31:23

如何深入學(xué)習(xí)Labview?

基礎(chǔ)的知識(shí)都懂了 但是深入學(xué)習(xí)卻不知如何下手。。。手中有本教材 深入太難了 很難學(xué)的。。。{:23:}
2012-06-05 15:23:06

FPGA設(shè)計(jì)思想與驗(yàn)證方法視頻教程課程大綱出爐,歡迎拍磚

的意見(jiàn)。對(duì)于直以來(lái)支持小FPGA教程的筒子,我們后面樣的機(jī)試用體驗(yàn)活動(dòng),會(huì)抽取積極性最高的筒子進(jìn)行篩選,送出我們的開(kāi)發(fā)板樣機(jī)哦。目前包含此活動(dòng),已經(jīng)有兩個(gè)活動(dòng)了,第個(gè)活動(dòng)是“小FPGA
2015-06-15 22:01:45

和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程之PDF

看了《小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程》受益匪淺,所以做個(gè)pdf方便大家。
2015-02-04 09:39:22

和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程(

– New來(lái)打開(kāi)新建文件選擇卡,新建文件選項(xiàng)卡如下所示, 余下內(nèi)容請(qǐng)參看“小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程(下)”
2014-11-09 18:19:23

和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程(下)

本帖最后由 小 于 2014-11-10 12:43 編輯 上接“小和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程(下)” 這里我們選中Verilog HDL File,點(diǎn)擊OK,即可新建
2014-11-10 12:40:31

和你一起深入學(xué)習(xí)FPGA數(shù)碼管動(dòng)態(tài)掃描

` 本帖最后由 小 于 2015-4-2 17:29 編輯 小和你一起深入學(xué)習(xí)FPGA數(shù)碼管動(dòng)態(tài)掃描 在電子系統(tǒng)中,通常都需要有輸出設(shè)備來(lái)輸出或顯示定的信息,以指示當(dāng)前系統(tǒng)運(yùn)行的狀態(tài)
2014-12-30 13:34:46

和你一起深入學(xué)習(xí)FPGADAC驅(qū)動(dòng)

本帖最后由 小 于 2014-11-25 16:43 編輯 本實(shí)驗(yàn)中,我們使用FPGA來(lái)驅(qū)動(dòng)了片DAC芯片TLC5620,該芯片的特性如下所示: TLC5620特性: 4路8位電壓輸出
2014-11-25 16:36:28

和你一起深入學(xué)習(xí)FPGAPS2鍵盤驅(qū)動(dòng)

本帖最后由 小 于 2014-12-25 21:04 編輯 [tr][td]小和你一起深入學(xué)習(xí)FPGAPS2鍵盤驅(qū)動(dòng) 在我們的電子系統(tǒng)中,當(dāng)需要用到大量的按鍵輸入時(shí),普通的獨(dú)立按鍵
2014-12-25 20:56:03

和你一起深入學(xué)習(xí)FPGAmif文件的制作

實(shí)現(xiàn)信號(hào)發(fā)生器的功能。小的DDS實(shí)驗(yàn)已經(jīng)做完,目前還沒(méi)有進(jìn)行文檔的編寫(xiě)。朋友今天邀請(qǐng)我為他制作個(gè)1024點(diǎn)的16位的正弦波mif文件,實(shí)現(xiàn)之后,發(fā)現(xiàn)過(guò)程中涉及到MATLAB軟件、Excel軟件
2014-11-10 00:01:24

和你一起深入學(xué)習(xí)FPGAword文檔中加入代碼的方法

本帖最后由 小 于 2016-4-11 18:37 編輯 相信有很多同學(xué)都和小樣,喜歡把自己的學(xué)習(xí)經(jīng)歷和技術(shù)經(jīng)驗(yàn)通過(guò)文檔的方式記錄下來(lái),其可以發(fā)布到各大論壇,以和其它同樣熱愛(ài)技術(shù)
2015-01-27 19:11:15

和你一起深入學(xué)習(xí)FPGA串口調(diào)試(

本帖最后由 小 于 2014-12-27 14:45 編輯 大家好,這幾天在各個(gè)論壇,經(jīng)常就有人在向我咨詢基于FPGA的串口通信代碼,大部分都是在網(wǎng)上下載個(gè)現(xiàn)成的代碼,但是在使用中就
2014-12-26 22:11:42

和你一起深入學(xué)習(xí)FPGA基于串口獵人虛擬示波器

` 本帖最后由 小 于 2015-4-7 20:09 編輯 大家好,久違了。前段時(shí)間小直在公司進(jìn)行資料的整理優(yōu)化。每天都很忙,所以好久都沒(méi)來(lái)論壇上了。今天,終于抽出點(diǎn)兒時(shí)間,再來(lái)論壇
2015-04-07 19:54:22

和你一起深入學(xué)習(xí)FPGA獨(dú)立按鍵檢測(cè)

本帖最后由 小 于 2014-11-10 13:05 編輯 幾乎沒(méi)有哪個(gè)系統(tǒng)沒(méi)有輸入輸出設(shè)備,大到顯示器,小到led燈,輕觸按鍵。作為個(gè)系統(tǒng),要想穩(wěn)定的工作,輸入輸出設(shè)備的性能占了很重
2014-11-10 12:59:42

和你一起深入學(xué)習(xí)FPGA之初學(xué)者指南

本帖最后由 小 于 2015-12-14 08:56 編輯 做電子發(fā)燒友論壇FPGA板塊的版主也有快個(gè)月了,感謝總版主給我的諸多照顧,尤其是高手問(wèn)答環(huán)節(jié),讓我真正了解到了很多初學(xué)者的困惑
2014-12-06 13:13:41

和你一起深入學(xué)習(xí)FPGA匯總帖(2月2日更新)

的人加入到這個(gè)行列來(lái),與大家分享自己的學(xué)習(xí)或者工作經(jīng)歷!為自己的人生留下點(diǎn)痕跡!小和你一起深入學(xué)習(xí)FPGA數(shù)碼管動(dòng)態(tài)掃描 https://bbs.elecfans.com
2015-01-13 00:45:37

FPGA學(xué)習(xí)交流的群啊,大家一起學(xué)習(xí)

FPGA學(xué)習(xí)交流群,大家一起學(xué)習(xí)。本人也有很多資料,可以共享啊。
2012-11-11 11:37:45

求射頻等基帶畫(huà)板深入學(xué)習(xí)資料?

求射頻等基帶畫(huà)板深入學(xué)習(xí)資料?求推薦視頻與電子版資料
2020-08-10 07:32:53

求推薦深入學(xué)習(xí)下C語(yǔ)言的書(shū)

本人學(xué)習(xí)完譚浩強(qiáng)的C程序設(shè)計(jì)了,想再深入學(xué)習(xí)下C語(yǔ)言,想找本可以學(xué)習(xí)的書(shū),有什么推薦嘛?
2014-10-23 14:10:03

求資料,小FPGA AC620

同事送了我塊小FPGA AC620,求資料, 芯片手冊(cè)我剛剛已經(jīng)下載到了,有幾個(gè)引腳能直接看到連到哪個(gè)位置,但有些孔分辨不清連通到哪 有原理圖就行,或者pcb圖紙,我自己做個(gè)完整的原理圖 電試了下簡(jiǎn)單功能,感覺(jué)不錯(cuò),如果有完整的原理圖,應(yīng)該能做很多東西
2018-04-24 08:20:08

熱烈歡迎FPGA版塊新版主上任?。。?/a>

至芯昭帶你學(xué)FPGAFPGA_100天之旅_數(shù)碼管設(shè)計(jì)

至芯昭帶你學(xué)FPGAFPGA_100天之旅_數(shù)碼管設(shè)計(jì)
2017-09-17 12:10:22

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_AD設(shè)計(jì)

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_AD設(shè)計(jì)本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來(lái)源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅
2017-10-25 18:26:08

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_FIFO設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來(lái)源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_FIFO設(shè)計(jì)今天內(nèi)容為基于FPGA的FIFO設(shè)計(jì),詳細(xì)內(nèi)容看以下
2017-09-26 09:34:31

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_PS2設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來(lái)源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_FIFO設(shè)計(jì)今天內(nèi)容為基于FPGA的PS2設(shè)計(jì),詳細(xì)內(nèi)容請(qǐng)見(jiàn)以下
2017-10-06 10:57:19

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_VGA的設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來(lái)源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_VGA的設(shè)計(jì)今天內(nèi)容為基于FPGA的VGA的設(shè)計(jì),詳細(xì)內(nèi)容請(qǐng)見(jiàn)以下
2017-10-23 01:24:39

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_串口設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來(lái)源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_串口設(shè)計(jì)今天內(nèi)容為基于FPGA的串口設(shè)計(jì),詳細(xì)內(nèi)容看以下文件,文檔
2017-10-24 11:48:34

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_矩陣鍵盤設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來(lái)源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_矩陣鍵盤設(shè)計(jì)今天內(nèi)容為基于FPGA的矩陣鍵盤設(shè)計(jì),詳細(xì)內(nèi)容請(qǐng)見(jiàn)以下
2017-10-17 10:06:13

至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_計(jì)算器設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來(lái)源:至芯科技昭帶你學(xué)FPGAFPGA_100天之旅_計(jì)算器設(shè)計(jì)今天內(nèi)容為基于FPGA的計(jì)算器設(shè)計(jì),詳細(xì)內(nèi)容請(qǐng)見(jiàn)以下
2017-10-18 11:25:33

至芯科技昭帶你學(xué)FOGAFPGA_100天之旅_音樂(lè)蜂鳴器設(shè)計(jì)

本文屬于本人原創(chuàng),和大家一起學(xué)習(xí)FPGA,交流FPGA,希望大家多多支持。來(lái)源:至芯科技昭帶你學(xué)FOGAFPGA_100天之旅_音樂(lè)蜂鳴器設(shè)計(jì)今天內(nèi)容為基于FPGA的音樂(lè)蜂鳴器設(shè)計(jì),詳細(xì)內(nèi)容看
2017-09-25 10:08:19

請(qǐng)問(wèn)小 Text Editor 那里有下載

請(qǐng)問(wèn)小 Text Editor 那里有下載下了個(gè),但和你所用的不
2016-04-09 10:28:24

單片機(jī)視頻教程13:UART 通信深入學(xué)習(xí)

《手把手教你學(xué)單片機(jī)》單片機(jī)視頻教程13:UART 通信深入學(xué)習(xí) 單片機(jī)視頻教程13:UART 通信深入學(xué)習(xí) 1、單片機(jī)控制功能 2、UART異步和IIC的同步 3、EEPROM的地址 4、EEPROM的讀寫(xiě)時(shí)序問(wèn)題
2012-08-21 09:47:387579

小梅哥和你一起深入學(xué)習(xí)FPGAFPGA設(shè)計(jì)流程

2015-06-15 17:20:535

小梅哥和你一起深入學(xué)習(xí)FPGA數(shù)碼管動(dòng)態(tài)掃描

2015-06-15 17:28:4814

openwrt深入學(xué)習(xí)筆記

openwrt深入學(xué)習(xí)筆記 網(wǎng)上也很多,這里給大家上傳一個(gè),免費(fèi)供大家學(xué)習(xí)參考
2015-11-17 16:23:4270

深入學(xué)習(xí)ARM Cortex-M3的權(quán)威級(jí)

深入學(xué)習(xí)ARM Cortex-M3的權(quán)威級(jí)
2017-10-15 10:02:569

深入學(xué)習(xí):一文了解程序設(shè)計(jì)實(shí)踐

C語(yǔ)言深入學(xué)習(xí)資料
2018-03-10 10:59:063

小梅哥和你一起深入學(xué)習(xí)FPGA數(shù)碼管動(dòng)態(tài)掃描(上)

的硬件結(jié)構(gòu),如果用RTL級(jí)電路來(lái)驅(qū)動(dòng)彩色液晶屏來(lái)顯示一定的數(shù)據(jù),勢(shì)必是非常不劃算的選擇,而且驅(qū)動(dòng)也極為復(fù)雜。數(shù)碼管作為一種能夠直觀顯示一定數(shù)據(jù)信息的輸出設(shè)備,具有驅(qū)動(dòng)簡(jiǎn)單,顯示直觀的特點(diǎn),尤其適合作為FPGA系統(tǒng)的輸出設(shè)備。本節(jié),小梅哥就
2018-09-26 07:29:02417

梅哥和你一起深入學(xué)習(xí)FPGA之獨(dú)立按鍵檢測(cè)(下)

關(guān)鍵詞:FPGA , 按鍵檢測(cè) 八、 仿真分析 由上圖仿真結(jié)果可知,當(dāng)有按鍵按下時(shí),需要較長(zhǎng)一段時(shí)間后,Key_Flag會(huì)有一個(gè)高電平脈沖,同時(shí)Key_Value更新為輸入按鍵的反碼。 為了確定
2018-09-26 07:31:01272

小梅哥和你一起深入學(xué)習(xí)FPGA之獨(dú)立按鍵檢測(cè)(上)

關(guān)鍵詞:FPGA , 按鍵檢測(cè) 幾乎沒(méi)有哪一個(gè)系統(tǒng)沒(méi)有輸入輸出設(shè)備,大到顯示器,小到led燈,輕觸按鍵。作為一個(gè)系統(tǒng),要想穩(wěn)定的工作,輸入輸出設(shè)備的性能占了很重要的角色。本實(shí)驗(yàn),小梅哥就通過(guò)一個(gè)獨(dú)立
2018-09-26 07:40:01459

小梅哥和你一起深入學(xué)習(xí)FPGA之點(diǎn)亮LED燈(下)

關(guān)鍵詞:FPGA , LED 七、 測(cè)試平臺(tái)設(shè)計(jì) 本實(shí)驗(yàn)主要對(duì)LED的輸出和輸入與復(fù)位的關(guān)系進(jìn)行測(cè)試仿真,通過(guò)仿真,即可驗(yàn)證設(shè)計(jì)的正確性和合理性。相關(guān)testbench的代碼如下: 以下是代碼片段
2018-09-26 07:47:01248

小梅哥和你一起深入學(xué)習(xí)FPGA之點(diǎn)亮LED燈(上)

關(guān)鍵詞:FPGA , LED 在之前更新的目錄里面,并沒(méi)有安排這個(gè)實(shí)驗(yàn),第一個(gè)實(shí)驗(yàn)應(yīng)該是獨(dú)立按鍵的檢測(cè)與消抖??墒?,當(dāng)小梅哥來(lái)做按鍵消抖的實(shí)驗(yàn)時(shí),才發(fā)現(xiàn)沒(méi)有做基本的輸出設(shè)備,因此按鍵檢測(cè)的結(jié)果
2018-09-26 07:52:011310

小梅哥和你一起深入學(xué)習(xí)FPGA數(shù)碼鐘(下)

關(guān)鍵詞:FPGA , 數(shù)碼鐘 圖中存在較多的模塊,因此在此將每個(gè)模塊的功能做簡(jiǎn)單介紹: 另外,Clock_Control模塊為綜合模塊,內(nèi)部包含了時(shí)、分、秒、時(shí)鐘計(jì)數(shù)器模塊和時(shí)間設(shè)定模塊,該模塊
2018-09-26 07:59:02217

小梅哥和你一起深入學(xué)習(xí)FPGA數(shù)碼管動(dòng)態(tài)掃描(下)

關(guān)鍵詞:FPGA , 動(dòng)態(tài)掃描 測(cè)試平臺(tái)設(shè)計(jì) 本實(shí)驗(yàn)主要對(duì)數(shù)碼管驅(qū)動(dòng)引腳的狀態(tài)與預(yù)期進(jìn)行比較和分析,通過(guò)仿真,驗(yàn)證設(shè)計(jì)的正確性和合理性。數(shù)碼管驅(qū)動(dòng)模塊的testbench如下所示
2018-10-01 15:35:01259

精通Python的深入學(xué)習(xí)資料

精通Python的深入學(xué)習(xí)資料:從多方面來(lái)了解Python的特性和用法。
2020-07-07 15:08:1240

已全部加載完成