電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>汽車電子>新思科技面向臺積公司N5A工藝技術(shù)推出業(yè)內(nèi)領(lǐng)先的廣泛車規(guī)級IP組合

新思科技面向臺積公司N5A工藝技術(shù)推出業(yè)內(nèi)領(lǐng)先的廣泛車規(guī)級IP組合

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

思科技28納米DesignWare IP贏得第100項設(shè)計

思科公司(Synopsys, Inc., 納斯達(dá)克股票市場代碼:SNPS)日前宣布: 該公司針對多家領(lǐng)先的晶圓代工廠優(yōu)化的28納米工藝DesignWare IP已贏得第100項設(shè)計。
2012-09-20 10:11:401139

思科技Custom Design Platform獲批三星7LPP工藝技術(shù)認(rèn)證

· 新思科技Custom Design Platform為三星7LPP工藝技術(shù)提供經(jīng)認(rèn)證的工具、PDK、仿真模型、運行集(runsets)以及定制參考流程。 · 新思科技Custom
2018-07-18 11:46:357228

2020年半導(dǎo)體制造工藝技術(shù)前瞻

  晶體管制造工藝在近年來發(fā)展得不是非常順利,行業(yè)巨頭英特爾的主流產(chǎn)品長期停滯在14nm上,10nm工藝性能也遲遲得不到改善。電、三星等巨頭雖然在積極推進(jìn)7nm乃至5nm工藝,但是其頻率和性能
2020-07-07 11:38:14

電0.18工藝電源電壓分別是多少?

電0.18工藝電源電壓分別是多少?是1.8v跟3.3v嗎?
2021-06-25 06:32:37

電或?qū)ⅰ蔼毻獭?b class="flag-6" style="color: red">A7大單

` 觀點:在技術(shù)領(lǐng)先的優(yōu)勢下,電獲得蘋果iPhone5芯片追加訂單已成事實。然而,在iPhone 5推出后,蘋果已朝下一世代A7處理器邁進(jìn),電憑借技術(shù)領(lǐng)先的優(yōu)勢,預(yù)估未來1-2年內(nèi)
2012-09-27 16:48:11

規(guī)GPS模塊有哪些特征?

規(guī)GPS模塊有哪些特征?
2021-05-18 06:54:02

規(guī)MCU缺貨持續(xù)2年,上海航芯助力國產(chǎn)市場

、國家專精特新小巨人企業(yè)、上海市科技小巨人企業(yè)、上海市專利試點企業(yè)。公司擁有領(lǐng)先的核心架構(gòu)、算法實現(xiàn)、安全防護(hù)和系統(tǒng)集成等芯片設(shè)計技術(shù),自主研發(fā)的產(chǎn)品包括安全芯片、規(guī)芯片、MCU芯片等通過了
2023-02-03 12:00:10

規(guī)的器件選型

`各位今天聊聊規(guī)的芯片選型。如果需要的芯片沒有車規(guī)級別的,但又工業(yè)級別的。從穩(wěn)定性,可靠性方面考慮,應(yīng)該要層元器件的那些特性為主要的考慮因素呢,是溫度?`
2015-10-15 14:22:18

規(guī)

規(guī)管,有通過AEC-Q101、TS16949、IATF16949希望對所有汽車電子設(shè)計有幫助,產(chǎn)品特點:1.領(lǐng)先全球薄型封裝片式二管: 0402/0603/0805/1206/2010
2018-02-09 15:22:44

用MCU市場規(guī)模持續(xù)擴(kuò)大,華秋電子攜手上海航芯助力國產(chǎn)化

、國家專精特新小巨人企業(yè)、上海市科技小巨人企業(yè)、上海市專利試點企業(yè)。公司擁有領(lǐng)先的核心架構(gòu)、算法實現(xiàn)、安全防護(hù)和系統(tǒng)集成等芯片設(shè)計技術(shù),自主研發(fā)的產(chǎn)品包括安全芯片、規(guī)芯片、MCU芯片等通過了
2023-02-03 13:49:45

EMC設(shè)計、工藝技術(shù)基本要點和問題處理流程

EMC設(shè)計、工藝技術(shù)基本要點和問題處理流程推薦給大家參考。。
2015-08-25 12:05:04

FOC汽車水泵方案,BAT32A237、CMSA6164中微規(guī)芯片

高性能 高標(biāo)準(zhǔn)BAT32A237是一款規(guī)高品質(zhì)等級的32位通用MCU,芯片基于Arm Cortex?-M0+內(nèi)核,工作頻率48 MHz,配備128KB Flash,12KB SRAM和1.5KB
2022-10-11 14:35:41

GW2A系列FPGA(規(guī))數(shù)據(jù)手冊

GW2A 系列 FPGA 產(chǎn)品(規(guī))數(shù)據(jù)手冊主要包括高云半導(dǎo)體 GW2A系列 FPGA 產(chǎn)品(規(guī))特性概述、產(chǎn)品資源信息、內(nèi)部結(jié)構(gòu)介紹、電氣特性、編程接口時序以及器件訂貨信息,幫助用戶快速了解高云半導(dǎo)體 GW2A系列 FPGA 產(chǎn)品(規(guī))特性,有助于器件選型及使用。
2022-09-29 07:47:16

LT9211D龍迅規(guī)顯示橋接,MIPI轉(zhuǎn)1/2PortLVDS

龍迅2023年Q4推出規(guī)LT9211D_U2Q07CAN,通過AEC-Q100 二測試合格。本篇技術(shù)資料為R1.1更新版本,PDF添加TS/TJ和ESD數(shù)據(jù)。LT9211D為目前大陸市場
2024-03-11 22:26:05

PCA9685是規(guī)的么?我想要一個規(guī)的PWM輸出信號芯片(引腳越多越好)有沒有推薦

PCA9685是規(guī)的么?我想要一個規(guī)的PWM輸出信號芯片(引腳越多越好)有沒有推薦。TLC5940-EP怎么樣
2021-05-24 09:43:54

Sic mesfet工藝技術(shù)研究與器件研究

Sic mesfet工藝技術(shù)研究與器件研究針對SiC 襯底缺陷密度相對較高的問題,研究了消除或減弱其影響的工藝技術(shù)并進(jìn)行了器件研制。通過優(yōu)化刻蝕條件獲得了粗糙度為2?07 nm的刻蝕表面;犧牲氧化
2009-10-06 09:48:48

[下載]SMT技術(shù)之-無鉛工藝技術(shù)應(yīng)用及可靠性

;nbsp;  <br/>薛競成----無鉛工藝技術(shù)應(yīng)用和可靠性 <br/>主辦單位&
2009-07-27 09:02:35

[轉(zhuǎn)]電借16nm FinFET Plus及InFO WLP 通吃英特爾蘋果

蘋果晶圓代工龍頭電16納米鰭式場效晶體管升級版(FinFET Plus)將在明年1月全產(chǎn)能量產(chǎn),搭配整合型扇出晶圓尺寸封裝(InFO WLP)的系統(tǒng)封裝(SiP)技術(shù),在x86及ARM架構(gòu)64位
2014-05-07 15:30:16

i.MX RT1170規(guī)產(chǎn)品有AEC-Q100認(rèn)證嗎?

大家好如題,i.MX RT1170規(guī)產(chǎn)品有AEC-Q100認(rèn)證嗎?如果是,能否提供相關(guān)文件?
2023-03-15 08:24:23

【AD新聞】英特爾解讀全球晶體管密度最高的制程工藝

降低產(chǎn)品價格并提升其性能 -- 是英特爾的核心競爭優(yōu)勢。英特爾一直以來都是并將繼續(xù)成為推動摩爾定律向前發(fā)展的技術(shù)領(lǐng)導(dǎo)者,目前英特爾在制程工藝上保持著大約三年的領(lǐng)先性。英特爾公司執(zhí)行副總裁兼制造、運營
2017-09-22 11:08:53

【RK3588】工業(yè)規(guī)的主板現(xiàn)已全面上市

Firefly推出了專門為工業(yè)和汽車領(lǐng)域而打造的RK3588產(chǎn)品系列,除了之前已發(fā)布的核心板系列之外,目前同步推出了以下兩款主板產(chǎn)品:8K AI工業(yè)主板:AIO-3588JQ規(guī)AI主板
2022-10-28 16:39:48

產(chǎn)品推薦 | Abracon 的規(guī)共模扼流圈

過濾。這些扼流圈可以廣泛應(yīng)用于汽車、工業(yè)、IIoT以及網(wǎng)絡(luò)和電信。 規(guī)電源線共模扼流圈 規(guī)信號線共模扼流圈 特性 Automotive Common Mode Chokes 01 高可靠性
2023-09-12 14:48:02

什么是規(guī)芯片

`  誰來闡述一下什么是規(guī)芯片?`
2019-10-18 10:55:55

什么是規(guī)電容

`電容會分成很多種,電解電容、鉭電容等等,那什么是規(guī)電容?`
2019-09-30 14:46:18

兆易創(chuàng)新推出全國產(chǎn)化24nm工藝節(jié)點的GD5F4GM5系列

業(yè)界領(lǐng)先的半導(dǎo)體器件供應(yīng)商兆易創(chuàng)新GigaDevice今日宣布,正式推出全國產(chǎn)化24nm工藝節(jié)點的4GbSPINANDFlash產(chǎn)品——GD5F4GM5系列。該系列產(chǎn)品實現(xiàn)了從設(shè)計研發(fā)、生產(chǎn)制造到
2020-11-26 06:29:11

兆易創(chuàng)新全系列車規(guī)存儲產(chǎn)品累計出貨1億顆

中國北京(2023年4月12日)—業(yè)界領(lǐng)先的半導(dǎo)體器件供應(yīng)商兆易創(chuàng)新GigaDevice今日宣布,旗下車規(guī)GD25/55 SPINOR Flash和GD5F SPI NAND Flash系列產(chǎn)品
2023-04-13 15:18:46

全球進(jìn)入5nm時代

%,Lam Research為10億美元,占電采購額的9%,迪恩士占5%,KLA占4%。ASML目前,全球僅有ASML一家公司掌握著EUV光刻機(jī)的核心技術(shù),這也是5nm制程必需的設(shè)備,但EUV
2020-03-09 10:13:54

剛?cè)嵝訮CB制造工藝技術(shù)的發(fā)展趨勢

PCB的制造技術(shù)受到廣泛關(guān)注。剛?cè)峤Y(jié)合PCB的制造工藝:Rigid-Flex PCB,即RFC,是將剛性PCB與柔性PCB結(jié)合在一起的印刷電路板,它可以通過PTH形成層間傳導(dǎo)。剛?cè)嵝訮CB的簡單制造
2019-08-20 16:25:23

半導(dǎo)體工藝技術(shù)的發(fā)展趨勢

  業(yè)界對哪種半導(dǎo)體工藝最適合某一給定應(yīng)用存在著廣泛的爭論。雖然某種特殊工藝技術(shù)能更好地服務(wù)一些應(yīng)用,但其它工藝技術(shù)也有很大的應(yīng)用空間。像CMOS、BiCMOS、砷化鎵(GaAs)、磷化銦(InP
2019-07-05 08:13:58

半導(dǎo)體工藝技術(shù)的發(fā)展趨勢是什么?

業(yè)界對哪種半導(dǎo)體工藝最適合某一給定應(yīng)用存在著廣泛的爭論。雖然某種特殊工藝技術(shù)能更好地服務(wù)一些應(yīng)用,但其它工藝技術(shù)也有很大的應(yīng)用空間。像CMOS、BiCMOS、砷化鎵(GaAs)、磷化銦(InP
2019-08-20 08:01:20

半導(dǎo)體發(fā)展的四個時代

和設(shè)計復(fù)雜性開始呈爆炸式增長。工藝技術(shù)、EDA、IP 和設(shè)計方法之間深奧而微妙的相互作用對于與分解的供應(yīng)鏈進(jìn)行協(xié)調(diào)變得非常具有挑戰(zhàn)性。電也是這個時代的先驅(qū)。 電意識到分解生態(tài)系統(tǒng)的各個部分之間需要
2024-03-13 16:52:37

各類常用工藝電,中芯國際,華潤上華

各類常用工藝電,中芯國際,華潤上華
2015-12-17 19:52:34

提高多層板層壓品質(zhì)工藝技術(shù)總結(jié),不看肯定后悔

如何提高多層板層壓品質(zhì)在工藝技術(shù)
2021-04-25 09:08:11

思科技發(fā)布業(yè)界首款全棧式AI驅(qū)動型EDA解決方案Synopsys.ai

擬設(shè)計遷移流程和公司的增強(qiáng)型工藝設(shè)計套件(PDKs),我們能夠?qū)崿F(xiàn)設(shè)計方案的復(fù)用,高效地在業(yè)界廣泛采用的工藝技術(shù)上進(jìn)行遷移,并受益于全新工藝技術(shù)在性能、功耗及面積方面的優(yōu)化?!盜BM研究中心全球半導(dǎo)體
2023-04-03 16:03:26

新競爭力—ARM Cortex-A9處理器

宏的形式,設(shè)計使用***電(TSMC)的40G40nm制造工藝技術(shù)制造。Osprey硬宏分別針對功耗和性能作了優(yōu)化,而針對性能的優(yōu)化使得ARM處理器完全進(jìn)入了高性能應(yīng)用競爭領(lǐng)域?!癘sprey
2016-09-10 09:49:21

新能源汽車市場熱度不斷高漲,十家規(guī)芯片上市公司一覽

,比亞迪的純電加混動年產(chǎn)能將超過140萬輛。此外,比亞迪幾乎包辦了整車需要的最有價值的零組件設(shè)計制造,包括電池、電機(jī)、微控制器等半導(dǎo)體產(chǎn)品。 02 國產(chǎn)規(guī)芯片上市公司發(fā)展強(qiáng)勁 全球缺芯潮已逐漸演變?yōu)?/div>
2022-11-23 14:40:42

晶圓凸起封裝工藝技術(shù)簡介

?! ‰S著越來越多晶圓焊凸專業(yè)廠家將焊膏印刷工藝用于WLP封裝,批量壓印技術(shù)開始在半導(dǎo)體封裝領(lǐng)域中廣泛普及。然而,大型EMS企業(yè)也走進(jìn)了WLP領(lǐng)域。封裝和板卡之間的邊界,以及封裝與組裝工藝之間的邊界日漸模糊,迫使企業(yè)必須具備晶圓和芯片工藝技術(shù)來為客戶服務(wù)`
2011-12-01 14:33:02

有知道國內(nèi)生產(chǎn)規(guī)器件的嗎?求大神

求可靠的生產(chǎn)廠家,規(guī)器件。求推薦
2017-05-12 10:21:28

極海推出APM32A系列車規(guī)MCU芯片

極海宣布推出具有高效CPU處理性能、增強(qiáng)型存儲空間、以及豐富連接功能的APM32A系列車規(guī)MCU,以有效滿足汽車電子多樣化通信與車身控制應(yīng)用開發(fā)需求,可廣泛應(yīng)用于車身控制、安全系統(tǒng)、信息娛樂系統(tǒng)
2023-02-21 14:21:11

武漢芯源半導(dǎo)體首款規(guī)MCU,CW32A030C8T7通過AEC-Q100測試考核

源半導(dǎo)體提供線上公眾號(武漢芯源半導(dǎo)體、CW32生態(tài)社區(qū))、芯源CW32 MCU技術(shù)論壇等多個渠道的技術(shù)交流與支持服務(wù)。 廣泛的車身應(yīng)用,豐富汽車電子智能體驗 CW32A030C8T7規(guī)MCU遵循
2023-11-30 15:47:01

求一種基于Richtek RTQ7880的規(guī)充電應(yīng)用解決方案

基于Richtek RTQ7880的規(guī)充電裝置有哪些核心技術(shù)優(yōu)勢?
2021-08-06 06:19:11

求推薦幾款芯片,一種是PWM輸出信號芯片,一種是繼電器控制輸出芯片,都需要規(guī)

PWM輸出信號芯片類似于PCA9685這種,引腳越多越好,需要是規(guī)。繼電器控制輸出芯片類似于TLE6244X這種,也需要是規(guī),急需,感謝大家
2021-05-25 15:31:03

瑞薩電子推出包括汽車在內(nèi)的 10款全新成功產(chǎn)品組合

跨業(yè)務(wù)部門的協(xié)作。新的組織機(jī)構(gòu)使瑞薩能夠借助促進(jìn)交叉銷售機(jī)會和更廣泛的用戶覆蓋來利用規(guī)模優(yōu)勢。此次全新推出的“成功產(chǎn)品組合”則是首批結(jié)合汽車與非用產(chǎn)品的方案。瑞薩電子高級副總裁兼首席銷售市場官
2023-03-02 14:29:51

紫光展銳亮相MWC 2023首度展示三款規(guī)商用芯片

40%。在工業(yè)電子領(lǐng)域,紫光展銳已推出V510、V516,以及面向智能座艙的A7870和面向行業(yè)解決方案的P7885。紫光展銳首度展示三款規(guī)商用芯片2022年,展銳在汽車電子領(lǐng)域,也有多款產(chǎn)品量產(chǎn)
2023-02-28 10:00:39

肇觀電子發(fā)布世界領(lǐng)先AI視覺處理芯片N171

;該技術(shù)廣泛用于視覺定位和建圖、三維重建、高精地圖生成等應(yīng)用5.每瓦數(shù)TOPs的深度神經(jīng)網(wǎng)絡(luò)計算能力6.同時實時采集并分析多路攝像頭的視覺圖像輸入,廣泛用于機(jī)器人、無人機(jī)、無人等應(yīng)用7.接口豐富8.
2018-08-31 14:32:35

工藝制程,Intel VS電誰會贏?

生產(chǎn)。如果電真的能夠完全按照這一時間展開工作的話,那么就將使該公司徹底走在了芯片制造領(lǐng)域的最前端?! ∧壳?,業(yè)內(nèi)設(shè)備制造廠商大多剛剛開始擁抱14納米芯片工藝,蘋果最新的iPhone 6s系列就是
2016-01-25 09:38:11

請教腐蝕工藝的相關(guān)工藝流程及技術(shù)員的職責(zé)

請詳細(xì)敘述腐蝕工藝工段的工藝流程以及整個前道的工藝技術(shù)
2011-04-13 18:34:13

請問規(guī)芯片到底有哪些要求?

請問規(guī)芯片到底有哪些要求?
2021-06-18 07:56:37

重慶電感供應(yīng)/TS16949認(rèn)證對規(guī)電感廠家的重要性--谷景電子

汽車類電子的客戶首先選擇規(guī)電感廠家看的是什么呢?是規(guī)模嗎?是質(zhì)量嗎?是服務(wù)嗎?都不是,首先看規(guī)電感廠家有沒有TS16949認(rèn)證。為什么汽車類電子客戶首先要求規(guī)電感廠家擁有TS16949
2020-06-22 11:59:24

量產(chǎn)發(fā)布!國民技術(shù)首款規(guī)MCU N32A455上市

2023年2月20日,國民技術(shù)在深圳正式推出兼具通用性、硬件安全性和規(guī)高可靠性等優(yōu)勢特性的N32A455系列車規(guī)MCU并宣布量產(chǎn)。這是繼N32S032規(guī)EAL5+安全芯片之后,國民技術(shù)發(fā)布
2023-02-20 17:44:27

銳成芯微宣布在22nm工藝推出雙模藍(lán)牙射頻IP

40nm等工藝節(jié)點推出藍(lán)牙IP解決方案,并已進(jìn)入量產(chǎn)。此次推出的22nm雙模藍(lán)牙射頻IP將使得公司的智能物聯(lián)網(wǎng)IP平臺更具特色。結(jié)合銳成芯微豐富的模擬IP、存儲IP、接口IP、IP整合及芯片定制服務(wù)、專業(yè)及時的技術(shù)支持,銳成芯微期待為廣大物聯(lián)網(wǎng)應(yīng)用市場提供更完善的技術(shù)解決方案。
2023-02-15 17:09:56

常用PCB工藝技術(shù)參數(shù)

常用PCB工藝技術(shù)參數(shù).
2010-07-15 16:03:1766

中芯國際和新思科技攜手推出參考設(shè)計流程4.0

中芯國際和新思科技攜手推出參考設(shè)計流程4.0 全球領(lǐng)先的半導(dǎo)體設(shè)計、驗證和制造軟件及知識產(chǎn)權(quán)(IP)供應(yīng)商新思科公司與中國內(nèi)地最大的芯片代工企業(yè)中芯國際集成電
2009-06-29 07:43:54369

思科技與中芯國際合作推出 DesignWareUSB 2.

思科技與中芯國際合作推出 DesignWareUSB 2.0 nanoPHY-- 用于中芯65納米 LL 工藝技術(shù),獲得 USB 標(biāo)志認(rèn)證-- 通過芯片驗證的 DesignWare PHY IP 降低了風(fēng)險,易于集成到系
2010-05-13 21:37:27359

思科技與中芯國際合作推出用于中芯65納米低漏電工藝技術(shù)的、

思科技與中芯國際合作推出用于中芯65納米低漏電工藝技術(shù)的、獲得USB標(biāo)志認(rèn)證的DesignWareUSB 2.0 nanoPHY 通過芯片驗證的DesignWare PHY IP
2010-05-20 17:39:09589

采用SiGe:C BiCMOS工藝技術(shù)的射頻/微波產(chǎn)品

采用SiGe:C BiCMOS工藝技術(shù)的射頻/微波產(chǎn)品 恩智浦將在2010年底前推出超過50種采用SiGe:C技術(shù)的產(chǎn)品,其QUBiC4 SiGe:C工藝技術(shù)可提供高功率增益和優(yōu)
2010-05-24 11:06:351367

Synopsys宣布提供經(jīng)中芯國際65nm低漏電工藝芯片驗證的DesignWare數(shù)據(jù)轉(zhuǎn)換器IP

思科技有限公司日前宣布:該公司所提供經(jīng)芯片生產(chǎn)驗證的DesignWareTM數(shù)據(jù)轉(zhuǎn)換器IP,已被應(yīng)用于中芯國際廣受歡迎的65納米低漏電(Low Leakage)工藝技術(shù)
2011-04-21 09:57:061043

Synopsys推出DesignWare AEON非易失性存儲器IP

Synopsys, Inc.宣布:即日起推出面向多種180納米工藝技術(shù)的DesignWare? AEON?非易失性存儲器(NVM)知識產(chǎn)權(quán)(IP)。
2011-06-29 09:04:28983

科銳公司推出兩項新型GaN工藝技術(shù)

科銳公司(CREE)宣布推出兩項新型GaN工藝:0.25微米、漏極電壓最高為40V的G40V4和0.4微米、漏極電壓最高為50VG50V3。新的工藝技術(shù)增加了工作電壓和無線射頻功率密度,與傳統(tǒng)的技術(shù)相比
2012-07-18 14:30:561306

半導(dǎo)體工藝技術(shù)

半導(dǎo)體的制造流程以及各工位的詳細(xì)工藝技術(shù)。
2016-05-26 11:46:340

PCB測試工藝技術(shù)

PCB測試工藝技術(shù),很詳細(xì)的
2016-12-16 21:54:480

Synopsys推出可用于180nm CMOS工藝技術(shù)的可重編程非易失性存儲器IP

關(guān)鍵詞:180nm , CMOS工藝技術(shù) , Synopsys , 非易失性存儲器IP , 可重編程 全球領(lǐng)先的半導(dǎo)體設(shè)計、驗證和制造軟件及知識產(chǎn)權(quán)(IP)供應(yīng)商新思科技有限公司(Synopsys
2018-10-14 17:36:01374

思科推出基于TSMC 7nm FinFET工藝技術(shù)的汽車級IP

基于7nm工藝技術(shù)的控制器和PHY IP具有豐富的產(chǎn)品組合,包括LPDDR4X、MIPI CSI-2、D-PHY、PCI Express 4.0以及安全IPIP解決方案支持TSMC 7nm工藝技術(shù)所需的先進(jìn)汽車設(shè)計規(guī)則,滿足可靠性和15年汽車運行要求。
2018-10-18 14:57:216541

Synopsys推出支持TSMC 7nm工藝技術(shù)

思科技(Synopsys)推出支持TSMC 7nm FinFET工藝技術(shù)的汽車級DesignWare Controller和PHY IP。DesignWare LPDDR4x、MIPI CSI-2
2018-11-13 16:20:231518

思科推出業(yè)內(nèi)首個DDR5 NVDIMM-P驗證IP 加速驗證工作完成

思科技(Synopsys,Inc.納斯達(dá)克股票代碼:SNPS)近日宣布為DDR5/4非易失性雙列直插式內(nèi)存模塊(NVDIMM-P),推出業(yè)內(nèi)首個驗證IP (VIP)。NVDIMM-P是新一代存儲
2019-05-17 09:43:483182

思科技與GLOBALFOUNDRIES合作 開發(fā)覆蓋面廣泛的DesignWare? IP組合

思科技近日宣布與GLOBALFOUNDRIES (GF)合作,針對GF的12納米領(lǐng)先性能(12LP) FinFET工藝技術(shù),開發(fā)覆蓋面廣泛的DesignWare? IP組合,包括多協(xié)議25G
2019-07-05 09:13:133145

思科技將開發(fā)廣泛DesignWare IP核產(chǎn)品組合

、DDR5、LPDDR5、MIPI、OTP NVM等 ●新思科技與GF的長期合作,成功實現(xiàn)了DesignWare IP核從180nm到12nm的開發(fā),并可應(yīng)用于廣泛領(lǐng)域 新思科技(Synopsys)近日宣布
2020-11-03 16:48:082049

Arasan宣布用于臺積公司22nm工藝技術(shù)的eMMC PHY IP立即可用

領(lǐng)先的移動和汽車SoC半導(dǎo)體IP提供商Arasan Chip Systems今天宣布,用于臺積公司22nm工藝技術(shù)的eMMC PHY IP立即可用 加利福尼亞州圣何塞2021年1月21
2021-01-21 10:18:232385

IBM推出一項微芯片工藝技術(shù)中的新改進(jìn)

IBM日前推出一項微芯片工藝技術(shù)中的新改進(jìn)。該公司表示,這項改進(jìn)將讓為手機(jī)和其它通信設(shè)備制造更高速的硅設(shè)備
2021-03-26 11:08:541282

思科技DesignWare IP基于臺積公司N5制程技術(shù)助力客戶連續(xù)實現(xiàn)一次流片成功,獲行業(yè)廣泛采用

思科技高質(zhì)量接口和基礎(chǔ)IP核獲得20多家領(lǐng)先半導(dǎo)體公司的采用,涵蓋汽車、移動和高性能計算市場。
2021-06-29 14:46:55403

臺積電新開發(fā)的N5A(5納米A)制程將于2022年第三季度問世

新的 S32 系列汽車處理器中,已經(jīng)用到了臺積電的 16nm 工藝技術(shù),而NXP本身也是N5A的重要潛在客戶。 對于N5A,臺積電聲稱其是世界上最先進(jìn)的汽車半導(dǎo)體技術(shù),目的是為了滿足更密集的汽車應(yīng)用對計算能力不斷增長的需求,例如支持人工智能的駕駛員輔助和車輛駕駛艙的數(shù)
2021-07-25 17:43:57919

思科技加入英特爾代工服務(wù)新成立的生態(tài)系統(tǒng)聯(lián)盟,攜手加速下一代半導(dǎo)體設(shè)計開發(fā)

雙方的共同客戶可采用新思科面向英特爾工藝技術(shù)領(lǐng)先EDA和IP解決方案,實現(xiàn)降低設(shè)計風(fēng)險并加速產(chǎn)品上市的目標(biāo)。
2022-03-02 14:16:391248

思科技與芯耀輝就IP業(yè)務(wù)建立戰(zhàn)略合作伙伴關(guān)系 一流科技OF智能云首發(fā)亮相

思科技(Synopsys)與芯耀輝(Akrostar)雙方已達(dá)成數(shù)年期戰(zhàn)略合作,新思科技授權(quán)芯耀輝運用新思科技12-28納米工藝技術(shù)、適配國內(nèi)芯片制造工藝的DesignWare? USB、DDR、MIPI、HDMI和PCI Express的系列IP核。
2022-03-16 15:31:171586

思科推出面向臺積公司N6RF工藝全新射頻設(shè)計流程

思科技(Synopsys)近日推出面向臺積公司N6RF工藝的全新射頻設(shè)計流程,以滿足日益復(fù)雜的射頻集成電路設(shè)計需求。
2022-06-24 14:30:13868

思科技獲得臺積公司的N3E和N4P工藝認(rèn)證

思科技數(shù)字和定制設(shè)計流程獲得臺積公司的N3E和N4P工藝認(rèn)證,并已推出面向工藝廣泛IP組合
2022-07-12 11:10:51877

思科技設(shè)計、驗證和IP解決方案助力Arm全面計算戰(zhàn)略

  新思科技設(shè)計、驗證和IP解決方案助力全新Arm Cortex CPU和新一代Arm GPU實現(xiàn)業(yè)內(nèi)領(lǐng)先的性能和能效比。
2022-07-13 11:06:181015

思科技EDA和IP完整解決方案獲臺積公司N3E工藝認(rèn)證,加速HPC、AI、和移動領(lǐng)域設(shè)計

,納斯達(dá)克股票代碼:SNPS )近日宣布,得益于與臺積公司的長期合作,新思科技針對臺積公司N3E工藝技術(shù)取得了多項關(guān)鍵成就,共同推動先進(jìn)工藝節(jié)點的持續(xù)創(chuàng)新。新思科技經(jīng)產(chǎn)品驗證的數(shù)字和定制設(shè)計流程已在臺積公司N3E工藝上獲得認(rèn)證。此外,該流程和新思科廣泛
2022-11-08 13:37:191359

新思攜手臺積公司推動半導(dǎo)體創(chuàng)新,以N3E工藝加速前沿應(yīng)用芯片設(shè)計

工藝技術(shù)取得了多項關(guān)鍵成就,共同推動先進(jìn)工藝節(jié)點的持續(xù)創(chuàng)新。新思科技經(jīng)產(chǎn)品驗證的數(shù)字和定制設(shè)計流程已在臺積公司N3E工藝上獲得認(rèn)證。此外,該流程和新思科廣泛的基礎(chǔ)IP、接口IP組合已經(jīng)在臺積公司N3E工藝上實現(xiàn)了多項成功流片,助力合
2022-11-10 11:15:22502

思科技、Ansys和是德科技推出面向臺積公司16FFC工藝的全新毫米波參考流程,持續(xù)加速5G/6G SoC開發(fā)效率

為滿足5G/6G SoC對性能和功耗的嚴(yán)苛需求,新思科技(Synopsys,Inc.,納斯達(dá)克股票代碼:SNPS)、Ansys和是德科技近日宣布,推出針對臺積公司16納米精簡型工藝技術(shù)(16FFC
2022-11-16 16:24:19570

思科面向臺積公司先進(jìn)技術(shù)推出多裸晶芯片設(shè)計解決方案,共同推動系統(tǒng)級創(chuàng)新

為滿足客戶對異構(gòu)計算密集型應(yīng)用的復(fù)雜要求,新思科技(Synopsys,Inc.,納斯達(dá)克股票代碼:SNPS)近日宣布,推出業(yè)界領(lǐng)先的全面EDA和IP解決方案,面向采用了臺積公司先進(jìn)N7、N5和N3
2022-11-16 16:25:43877

思科面向臺積電推出全面EDA和IP解決方案

? ? ?新思科技(Synopsys, Inc.)近日宣布,推出全面EDA和IP解決方案,面向采用了臺積公司先進(jìn)N7、N5和N3工藝技術(shù)的2D/2.5D/3D多裸晶芯片系統(tǒng)?;谂c臺積公司
2022-12-01 14:10:19487

思科技、臺積公司和Ansys強(qiáng)化生態(tài)系統(tǒng)合作,共促多裸晶芯片系統(tǒng)發(fā)展

在3DFabric?技術(shù)和3Dblox?標(biāo)準(zhǔn)中的合作,新思科技能夠為臺積公司先進(jìn)的7納米、5納米和3納米工藝技術(shù)上的多裸晶芯片系統(tǒng)設(shè)計,提供業(yè)界領(lǐng)先的全方位EDA和IP解決方案。臺積公司先進(jìn)工藝技術(shù)集成
2023-05-18 16:04:08790

思科技與三星擴(kuò)大IP合作,加速新興領(lǐng)域先進(jìn)SoC設(shè)計

、UCIe、LPDDR、DDR、MIPI等廣泛使用的協(xié)議中,并在三星工藝中實現(xiàn)高性能和低延遲 新思科技基礎(chǔ)IP,包括邏輯庫、嵌入式存儲器、TCAM和GPIO,可以在各先進(jìn)節(jié)點上提供行業(yè)領(lǐng)先的功耗、性能和面
2023-06-30 13:40:14341

兩大IP擴(kuò)大IP合作,新思科技攜手三星加速新興領(lǐng)域復(fù)雜SoC設(shè)計

存儲器、TCAM和GPIO,可以在各先進(jìn)節(jié)點上提供行業(yè)領(lǐng)先的功耗、性能和面積(PPA) 新思科技車規(guī)級IP集成到三星的工藝中,有助于確保ADAS、動力總成和雷達(dá)SoC的長期運行并提高可靠性 三星工藝中集成了廣泛IP組合,并在新思科技經(jīng)過認(rèn)證的數(shù)字和定制設(shè)計流程的加持下,共同加速流片成功
2023-07-26 17:40:03255

思科IP成功在臺積公司3nm工藝實現(xiàn)流片

基于臺積公司N3E工藝技術(shù)的新思科IP能夠為希望降低集成風(fēng)險并加快首次流片成功的芯片制造商建立競爭優(yōu)勢
2023-08-24 17:37:47657

思科技攜手臺積公司加速2nm工藝創(chuàng)新,為先進(jìn)SoC設(shè)計提供經(jīng)認(rèn)證的數(shù)字和模擬設(shè)計流程

。 Synopsys.ai? EDA解決方案中的模擬設(shè)計遷移流程可實現(xiàn)臺積公司工藝節(jié)點的快速設(shè)計遷移。 新思科技接口IP和基礎(chǔ)IP廣泛產(chǎn)品組合正在開發(fā)中,將助力縮短設(shè)計周期并降低集成風(fēng)險。 ? 加利福尼亞州桑尼維爾, 2023 年 10 月 18 日 – 新思科技(Synopsys, I
2023-10-19 11:44:22104

思科技攜手臺積公司加速N2工藝下的SoC創(chuàng)新

思科技近日宣布,其數(shù)字和定制/模擬設(shè)計流程已通過臺積公司N2工藝技術(shù)認(rèn)證,能夠幫助采用先進(jìn)工藝節(jié)點的SoC實現(xiàn)更快、更高質(zhì)量的交付。新思科技這兩類芯片設(shè)計流程的發(fā)展勢頭強(qiáng)勁,其中數(shù)字設(shè)計流程已實現(xiàn)
2023-10-24 16:42:06475

思科面向臺積公司N5A工藝技術(shù)推出領(lǐng)先廣泛車規(guī)級IP組合

思科技(Synopsys, Inc.)近日宣布,面向臺積公司N5A工藝推出業(yè)界領(lǐng)先廣泛車規(guī)級接口IP和基礎(chǔ)IP產(chǎn)品組合,攜手臺積公司推動下一代“軟件定義汽車”發(fā)展,滿足汽車系統(tǒng)級芯片(SoC)的長期可靠性和高性能計算需求。
2023-10-24 17:24:56505

思科技攜手是德科技、Ansys面向臺積公司4 納米射頻FinFET工藝推出全新參考流程,助力加速射頻芯片設(shè)計

是德科技(Keysight)、Ansys共同推出面向臺積公司業(yè)界領(lǐng)先N4PRF工藝(4納米射頻FinFET工藝)的全新參考流程。該
2023-10-30 16:13:05106

思科推出業(yè)界領(lǐng)先廣泛車規(guī)級接口IP和基礎(chǔ)IP產(chǎn)品組合

和 M-PHY ,以及 USB IP 產(chǎn)品都遵循了 TSMC N5A 工藝領(lǐng)先的車載等級設(shè)計規(guī)則。 新思科技宣布面向臺積公司N5A工藝推出業(yè)界領(lǐng)先廣泛車規(guī)級接口IP和基
2023-10-31 09:18:44709

思科技與英特爾深化合作,以新思科IP和經(jīng)Intel 18A工藝認(rèn)證的EDA流程加速先進(jìn)芯片設(shè)計

?芯片制造商與EDA解決方案和廣泛IP組合緊密合作, 能夠提升產(chǎn)品性能并加快上市時間 摘要: 新思科技數(shù)字和模擬EDA流程經(jīng)過認(rèn)證和優(yōu)化,針對Intel 18A工藝實現(xiàn)功耗、性能和面積目標(biāo)
2024-03-05 10:16:5984

已全部加載完成