0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > Verilog HDL

Verilog HDL

+關(guān)注 0人關(guān)注

Verilog HDL是一種硬件描述語(yǔ)言(HDL:Hardware Description Language),以文本形式來(lái)描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語(yǔ)言,用它可以表示邏輯電路圖、邏輯表達(dá)式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。

文章: 42 個(gè)
視頻: 60 個(gè)
瀏覽: 50366
帖子: 50 個(gè)

Verilog HDL簡(jiǎn)介

  Verilog HDL是一種硬件描述語(yǔ)言(HDL:Hardware Description Language),以文本形式來(lái)描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語(yǔ)言,用它可以表示邏輯電路圖、邏輯表達(dá)式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。 Verilog HDL和VHDL是世界上最流行的兩種硬件描述語(yǔ)言,都是在20世紀(jì)80年代中期開(kāi)發(fā)出來(lái)的。前者由Gateway Design Automation公司(該公司于1989年被Cadence公司收購(gòu))開(kāi)發(fā)。兩種HDL均為IEEE標(biāo)準(zhǔn)。

Verilog HDL百科

  Verilog HDL是一種硬件描述語(yǔ)言(HDL:Hardware Description Language),以文本形式來(lái)描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語(yǔ)言,用它可以表示邏輯電路圖、邏輯表達(dá)式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。 Verilog HDL和VHDL是世界上最流行的兩種硬件描述語(yǔ)言,都是在20世紀(jì)80年代中期開(kāi)發(fā)出來(lái)的。前者由Gateway Design Automation公司(該公司于1989年被Cadence公司收購(gòu))開(kāi)發(fā)。兩種HDL均為IEEE標(biāo)準(zhǔn)。

  以模塊為基礎(chǔ)的設(shè)計(jì)

  描述復(fù)雜的硬件電路,設(shè)計(jì)人員總是將復(fù)雜的功能劃分為簡(jiǎn)單的功能,模塊是提供每個(gè)簡(jiǎn)單功能的基本結(jié)構(gòu)。設(shè)計(jì)人員可以采取“自頂向下”的思路,將復(fù)雜的功能模塊劃分為低層次的模塊。這一步通常是由系統(tǒng)級(jí)的總設(shè)計(jì)師完成,而低層次的模塊則由下一級(jí)的設(shè)計(jì)人員完成。自頂向下的設(shè)計(jì)方式有利于系統(tǒng)級(jí)別層次劃分和管理,并提高了效率、降低了成本。“自底向上”方式是“自頂向下”方式的逆過(guò)程。

  使用Verilog描述硬件的基本設(shè)計(jì)單元是模塊(module)。構(gòu)建復(fù)雜的電子電路,主要是通過(guò)模塊的相互連接調(diào)用來(lái)實(shí)現(xiàn)的。模塊被包含在關(guān)鍵字module、endmodule之內(nèi)。實(shí)際的電路元件。Verilog中的模塊類似C語(yǔ)言中的函數(shù),它能夠提供輸入、輸出端口,可以實(shí)例調(diào)用其他模塊,也可以被其他模塊實(shí)例調(diào)用。模塊中可以包括組合邏輯部分、過(guò)程時(shí)序部分。例如,四選一的多路選擇器,就可以用模塊進(jìn)行描述。它具有兩個(gè)位選輸入信號(hào)、四個(gè)數(shù)據(jù)輸入,一個(gè)輸出端,在Verilog中可以表示為:

  module mux (out, select, in0, in1, in2, in3);output out;input [1:0] select;input in0, in1, in2, in3;//具體的寄存器傳輸級(jí)代碼endmodule

  設(shè)計(jì)人員可以使用一個(gè)頂層模塊,通過(guò)實(shí)例調(diào)用上面這個(gè)模塊的方式來(lái)進(jìn)行測(cè)試。這個(gè)頂層模塊常被稱為“測(cè)試平臺(tái)(Testbench)”。為了最大程度地對(duì)電路的邏輯進(jìn)行功能驗(yàn)證,測(cè)試代碼需要盡可能多地覆蓋系統(tǒng)所涉及的語(yǔ)句、分支、條件、路徑、觸發(fā)、狀態(tài)機(jī)狀態(tài),驗(yàn)證人員需要在測(cè)試平臺(tái)里創(chuàng)建足夠多的輸入激勵(lì),并連接到被測(cè)模塊的輸入端,然后檢測(cè)其輸出端的表現(xiàn)是否符合預(yù)期(諸如SystemVerilog的硬件驗(yàn)證語(yǔ)言能夠提供針對(duì)驗(yàn)證專門優(yōu)化的數(shù)據(jù)結(jié)構(gòu),以隨機(jī)測(cè)試的方式進(jìn)行驗(yàn)證,這對(duì)于高度復(fù)雜的集成電路設(shè)計(jì)驗(yàn)證可以起到關(guān)鍵作用)。實(shí)例調(diào)用模塊時(shí),需要將端口的連接情況按照這個(gè)模塊聲明時(shí)的順序排列。這個(gè)頂層模塊由于不需要再被外界調(diào)用,因此沒(méi)有輸入輸出端口:

  module tester;reg [1:0] SELECT;reg IN0, IN1, IN2, IN3;wire OUT;mux my_mux (OUT, SELECT, IN0, IN1, IN2, IN3); //實(shí)例調(diào)用mux模塊,這個(gè)實(shí)例被命名為my_muxinitial //需要仿真的激勵(lì)代碼 begin endendmodule

  在這個(gè)測(cè)試平臺(tái)模塊里,設(shè)計(jì)人員可以設(shè)定仿真時(shí)的輸入信號(hào)以及信號(hào)監(jiān)視程序,然后觀察仿真時(shí)的輸出情況是否符合要求,這樣就可以了解設(shè)計(jì)是否達(dá)到了預(yù)期。

  示例中的對(duì)模塊進(jìn)行實(shí)例引用時(shí),按照原模塊聲明時(shí)的順序羅列了輸入變量。除此之外,還可以使用或者采用命名端口連接的方式。使用這種方式,端口的排列順序可以與原模塊聲明時(shí)不同,甚至可以不連接某些端口:

  mux my_mux (.out(OUT), .select(SELECT), .in0(IN0), .in1(IN1), .in2(IN2), .in3(IN3));//使用命名端口連接,括號(hào)外面是模塊聲明時(shí)的端口,括號(hào)內(nèi)是實(shí)際的端口連接//括號(hào)外相當(dāng)于C語(yǔ)言的形式參數(shù),括號(hào)內(nèi)相當(dāng)于實(shí)際參數(shù)endmodule

  上面所述的情況是,測(cè)試平臺(tái)頂層模塊的測(cè)試變量直接連接了所設(shè)計(jì)的功能模塊。測(cè)試平臺(tái)還可以是另一種形式,即測(cè)試平臺(tái)并不直接連接所設(shè)計(jì)的功能模塊,而是在這個(gè)測(cè)試平臺(tái)之下,將激勵(lì)模塊和功能模塊以相同的抽象級(jí)別,通過(guò)線網(wǎng)相互連接。這兩種形式的測(cè)試平臺(tái)都可以完成對(duì)功能模塊的測(cè)試。大型的電路系統(tǒng),正是由各個(gè)層次不同模塊之間的連接、調(diào)用,來(lái)實(shí)現(xiàn)復(fù)雜的功能的。

  Verilog HDL 快速入門

  Verilog HDL是一種硬件描述語(yǔ)言(HDL:Hardware Description Language),它是以文本形式來(lái)描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語(yǔ)言。 世界上最流行的兩種硬件描述語(yǔ)言是Verilog HDL和VHDL。

  注意,VerilogHDL是一種描述語(yǔ)言,它和常見(jiàn)的編程語(yǔ)言C有根本的不同。C語(yǔ)言,讓計(jì)算機(jī)的CPU從上往下按順序執(zhí)行每一條指令,執(zhí)行完程序就結(jié)束了。

  而,VerilogHDL主要是描述了一個(gè)數(shù)字模塊的結(jié)構(gòu),或者行為。有點(diǎn)像商業(yè)合同,合同里面也會(huì)描述產(chǎn)品的結(jié)構(gòu),產(chǎn)品的功能等等。合同的每一個(gè)條款,并不需要嚴(yán)格的先后順序,只要把項(xiàng)目的方方面面都考慮完整,寫下來(lái)就OK了。VerilogHDL也是這樣。

  我們用VerilogHDL描述數(shù)字模塊的功能,剩下的交給編譯器(如,Quartus),編譯器會(huì)根據(jù)我們的要求設(shè)計(jì)重構(gòu)FPGA內(nèi)部硬件。對(duì)于大批懶人來(lái)說(shuō),這技術(shù)簡(jiǎn)直碉堡了。這就是EDA(Electronic Design Automation,電子設(shè)計(jì)自動(dòng)化)。

  好,下面就來(lái)認(rèn)識(shí)一下VerilogHDL

  我們先設(shè)計(jì)一個(gè)“數(shù)據(jù)選擇器”:

  s是數(shù)據(jù)選擇控制端,

  a,b是輸入信號(hào),y是輸出信號(hào)

  這里寫圖片描述

  代碼如下:

  module mux2_1(a, b, s, y); //模塊名、模塊接口名

  input a, b, s; // 定義輸入端口

  output y; // 定義輸出端口

  /* s為0時(shí),選擇a輸出;

  s為1時(shí),選擇b輸出。*/

  assign y = (s == 0) ? a : b; //輸出信號(hào)

  endmodule12345678

  每個(gè)Verilog文件中都有一個(gè)module 開(kāi)始,endmodule 結(jié)束的代碼塊。

  這個(gè)代碼塊的定義了一個(gè)名字叫 mux2_1 的模塊,模塊名后面緊跟的括號(hào)內(nèi)寫明了該模塊的接口信號(hào),相當(dāng)于數(shù)字器件的引腳。

  但是括號(hào)內(nèi)沒(méi)有說(shuō)明接口的信號(hào)方向,所以緊跟著另起一行用input 和output 再說(shuō)明一下。注釋和C語(yǔ)法一樣,可以用// 或 /* */ 。

  assign 是Verilog的關(guān)鍵詞,書上稱為連續(xù)賦值。我一般把他視為“連線”操作,assign后面的緊跟的 y 在硬件上是一根導(dǎo)線(或輸出引腳)。

  assign  y = (s == 0) ? a : b;

  這句話的意思是:s如果為0,那么等號(hào)左邊就是a,否則就是b。將這個(gè)表達(dá)式的輸出結(jié)果接在輸出引腳 y 上。

  這就是一個(gè)簡(jiǎn)單的Verilog程序,不需要我們?nèi)ピO(shè)計(jì)與非門,直接表達(dá)你的你想要的功能就好了。然后,編譯下載到FPGA,功能就實(shí)現(xiàn)了。

  要注意的是,assign 后面永遠(yuǎn)跟著一個(gè) =,它們是一起使用的。

  即,assign xx = zz;

  上面的2選1數(shù)據(jù)選擇器,內(nèi)部實(shí)現(xiàn)結(jié)構(gòu)如下:

  這里寫圖片描述

  所以,上面的assign語(yǔ)句還可以這樣寫,直接使用邏輯表達(dá)式:

  assign y = (a & (~ s)) | (b & s);

  這個(gè)是在門級(jí)對(duì)邏輯關(guān)系進(jìn)行描述,所以不屬于行為描述,算是結(jié)構(gòu)描述吧。下面這種描述方式,叫做門原語(yǔ),算結(jié)構(gòu)描述。這里的關(guān)鍵詞wire 表示電路中的導(dǎo)線(信號(hào)線)。

  module mux2_1(a, b, s, y);

  input a, b, s;

  output y;

  wire ns, as, bs;

  not(ns, s);//這里使用了一個(gè)非門,輸出是ns,輸入是s

  and(as, a, ns);//使用一個(gè)與門,輸出as,輸入a和ns

  and(bs, b, s);//使用與門,輸出bs,輸入b和s

  or(y, as, bs);//使用或門,輸出y,輸入as和bs

  endmodule12345678910

  看,這是告訴我們電路中有什么元器件,又是怎么連接的,所以這個(gè)屬于結(jié)構(gòu)描述。

  很明顯,有時(shí)候結(jié)構(gòu)描述比行為描述要費(fèi)力得多,而且不太容易理解程序功能。

  另外,上面的這個(gè)程序中,這4個(gè)邏輯門的順序,可以隨便寫,不用管先后順序。

  這個(gè)數(shù)據(jù)選擇器,還可以使用如下行為描述方法:

  module mux2_1(a, b, s, y);

  input a, b, s;

  output y;

  reg y; //reg 表示寄存器

  always @(a, b, s)

  begin

  if(!s) y = a;

  else y = b;

  end

  endmodule1234567891011

  這里reg表示寄存器(存儲(chǔ)器),需要提醒一下的是,assign后面只能接wire型,不能接reg型。(當(dāng)然output從物理上也是wire)

  為什么不能?因?yàn)榧拇嫫鞯馁x值除了需要輸入信號(hào),還需要觸發(fā)信號(hào)(例如D觸發(fā)器寄存器),assign?sorry,he can’t。

  always @(a, b, s)中,括號(hào)里面的輸入信號(hào)a,b,s表示敏感信號(hào)。

  always @( ) 是連在一起使用的。

  這句話的意思是,敏感信號(hào)列表中的任何一個(gè)信號(hào)發(fā)生變化,將會(huì)引發(fā)

  begin …… end 之間的行為。

  Verilog用begin和end包圍代碼段,相當(dāng)于c語(yǔ)言中的大括號(hào){ }的功能。

  if(!s) y = a;

  這里的“=”單獨(dú)使用,叫做“阻塞賦值”。我把他理解為“串行賦值”。

  比如,有這么一段代碼:

  b=a;

  c=b;

  那么最后,c的值就等于a,這個(gè)行為在描述的時(shí)候,語(yǔ)句的先后順序,決定了賦值的先后。

  在Verilog中,和它對(duì)應(yīng)的還有一個(gè)“非阻塞賦值”,表示方法是 《=,我把這種賦值稱為“并行賦值”。具體區(qū)別,請(qǐng)參考另一篇短文阻塞賦值和非阻塞賦值。

查看詳情

verilog hdl知識(shí)

展開(kāi)查看更多

verilog hdl技術(shù)

例說(shuō)Verilog HDL和VHDL區(qū)別

例說(shuō)Verilog HDL和VHDL區(qū)別

Verilog和VHDL之間的區(qū)別將在本文中通過(guò)示例進(jìn)行詳細(xì)說(shuō)明。對(duì)優(yōu)點(diǎn)和缺點(diǎn)的Verilog和VHDL進(jìn)行了討論。

2023-12-20 標(biāo)簽:NANDasicVHDL語(yǔ)言 2814 0

FPGA入門篇:Verilog計(jì)數(shù)器

FPGA入門篇:Verilog計(jì)數(shù)器

Verilog HDL是一種硬件描述語(yǔ)言,以文本形式來(lái)描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語(yǔ)言,用它可以表示邏輯電路圖、邏輯表達(dá)式,還可以表示數(shù)字邏輯系統(tǒng)所完...

2023-12-07 標(biāo)簽:fpgaVerilogVerilog HDL 2469 0

如何完成一個(gè)FPGA工程?

prj為工程文件存放目錄;rtl為verilog可綜合代碼存放目錄;tb為測(cè)試文件存放目錄;image為設(shè)計(jì)相關(guān)圖片存放目錄;doc為設(shè)計(jì)相關(guān)文檔存放目...

2023-01-10 標(biāo)簽:fpgaFPGA芯片Verilog HDL 495 0

FPGA技術(shù)之Verilog語(yǔ)法基本概念

Verilog HDL是一種用于數(shù)字系統(tǒng)設(shè)計(jì)的語(yǔ)言。用Verilog HDL描述的電路設(shè)計(jì)就是該電路的Verilog HDL模型也稱為模塊。Verilo...

2022-12-08 標(biāo)簽:fpgaVerilogVerilog HDL 2588 0

FPGA設(shè)計(jì)技巧分享

一般情況下,系統(tǒng)中統(tǒng)一用posedge避免用negedge,降低設(shè)計(jì)的復(fù)雜度,可減少出錯(cuò)。

2022-07-27 標(biāo)簽:fpga時(shí)序邏輯Verilog HDL 972 0

深入理解FPGA Verilog HDL語(yǔ)法(二)

今天給大俠帶來(lái)的是一周掌握FPGA Verilog HDL 語(yǔ)法,今天開(kāi)啟第二天。上一篇提到了整數(shù)型以及參數(shù)型,此篇我們繼續(xù)來(lái)看變量以及后續(xù)其他內(nèi)容,結(jié)...

2022-07-18 標(biāo)簽:fpga變量Verilog HDL 1543 0

Verilog HDL應(yīng)用及數(shù)字IC設(shè)計(jì)與流程概述

Verilog HDL應(yīng)用及數(shù)字IC設(shè)計(jì)與流程概述

一、HDL的概念和特征 HDL,Hard Discrimination Language的縮寫,翻譯過(guò)來(lái)就是硬件描述語(yǔ)言。那么什么是硬件描述語(yǔ)言呢?為什...

2020-10-21 標(biāo)簽:fpgaIC設(shè)計(jì)Verilog HDL 4338 0

Verilog HDL語(yǔ)言技術(shù)要點(diǎn)

Verilog HDL語(yǔ)言技術(shù)要點(diǎn)

基于FPGA的SOC在嵌入式系統(tǒng)應(yīng)用越來(lái)越廣了,往往一個(gè)復(fù)雜系統(tǒng)使用一個(gè)單芯片基于FPGA的SOC就搞定了。比較流行的方案主要有Altera/xilin...

2020-09-01 標(biāo)簽:C語(yǔ)言Verilog HDL 4316 0

Verilog HDL的程序結(jié)構(gòu)_veriloghdl的關(guān)鍵字

Verilog HDL的程序結(jié)構(gòu)_veriloghdl的關(guān)鍵字

首先我們不開(kāi)始講Verilog HDL的語(yǔ)法,我們從Verilog HDL的程序結(jié)構(gòu)出發(fā)。相信大家都看過(guò)芯片吧,它有個(gè)名字,有個(gè)外殼,外殼向外伸出有引腳...

2020-08-27 標(biāo)簽:VerilogVerilog HDL 2448 0

HDL是什么_HDL語(yǔ)言的特點(diǎn)

HDL是一種硬件描述語(yǔ)言,以文本形式來(lái)描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的語(yǔ)言,用它可以表示邏輯電路圖、邏輯表達(dá)式,還可以表示數(shù)字邏輯系統(tǒng)所完成的邏輯功能。 ...

2020-08-26 標(biāo)簽:vhdlVerilog HDL 6.2萬(wàn) 0

查看更多>>

verilog hdl資訊

Verilog HDL語(yǔ)言的數(shù)據(jù)類型和運(yùn)算符

標(biāo)識(shí)符可以是一組字母、數(shù)字、下劃線和$符號(hào)的組合,且標(biāo)識(shí)符的第一個(gè)字符必須是字母或者下劃線。

2022-07-04 標(biāo)簽:寄存器運(yùn)算符Verilog HDL 1300 0

Verilog HDL課程詳細(xì)介紹

原文標(biāo)題:非常詳細(xì)的Verilog講義教程 文章出處:【微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。 ? ? ? 審核編輯:彭靜

2022-07-04 標(biāo)簽:軟件Verilog HDL 753 0

FPGA、Verilog HDL與VHDL的優(yōu)缺點(diǎn)

Verilog HDL 優(yōu)點(diǎn):類似C語(yǔ)言,上手容易,靈活。大小寫敏感。在寫激勵(lì)和建模方面有優(yōu)勢(shì)。 缺點(diǎn):很多錯(cuò)誤在編譯的時(shí)候不能被發(fā)現(xiàn)。 VHDL 優(yōu)點(diǎn)...

2021-08-20 標(biāo)簽:dspfpgaC語(yǔ)言 4464 0

Verilog HDL verilog hdl和vhdl的區(qū)別

Verilog HDL是一種以文本形式描述數(shù)字系統(tǒng)硬件的結(jié)構(gòu)和行為的硬件描述語(yǔ)言,也可描述邏輯電路圖、邏輯表達(dá)式等。Verilog HDL和VHDL是目...

2021-07-23 標(biāo)簽:VHDLVerilog HDL 1.1萬(wàn) 0

Verilog HDL中定義的26個(gè)有關(guān)門級(jí)的關(guān)鍵字中常用的有哪些?

1、結(jié)構(gòu)描述形式 從電路結(jié)構(gòu)的角度來(lái)描述電路模塊,稱為結(jié)構(gòu)描述形式。 Verilog HDL中定義了26個(gè)有關(guān)門級(jí)的關(guān)鍵字,比較常用的有8個(gè): and ...

2021-07-02 標(biāo)簽:Verilog HDL 2959 0

Verilog HDL中的結(jié)構(gòu)建模方式細(xì)述

Verilog HDL中的結(jié)構(gòu)建模方式細(xì)述

模塊的端口可以是輸入端口、輸出端口或雙向端口。

2021-03-14 標(biāo)簽:UDP端口Verilog HDL 3371 0

基于Verilog HDL描述語(yǔ)言實(shí)現(xiàn)交通燈系統(tǒng)控制器的設(shè)計(jì)

基于Verilog HDL描述語(yǔ)言實(shí)現(xiàn)交通燈系統(tǒng)控制器的設(shè)計(jì)

 EDA技術(shù)是依靠功能強(qiáng)大的電子計(jì)算機(jī),在EDA工具軟件平臺(tái)上,對(duì)以硬件描述語(yǔ)言HDL(Hardware Description Language)為系...

2020-07-21 標(biāo)簽:交通燈系統(tǒng)控制器Verilog HDL 7860 0

Verilog HDL語(yǔ)言在FPGA/CPLD中的應(yīng)用

Verilog HDL語(yǔ)言在FPGA/CPLD中的應(yīng)用

通常設(shè)計(jì)數(shù)字電路大都采用自頂向下將系統(tǒng)按功能逐層分割的層次化設(shè)計(jì)方法,這比傳統(tǒng)自下向上的EDA設(shè)計(jì)方法有更明顯的優(yōu)勢(shì)(當(dāng)時(shí)的主要設(shè)計(jì)文件是電路圖)。因?yàn)?..

2020-07-20 標(biāo)簽:fpgaedaVerilog HDL 1954 0

Verilog HDL之多路選擇器設(shè)計(jì)

Verilog HDL之多路選擇器設(shè)計(jì)

在數(shù)字信號(hào)的傳輸過(guò)程中,有時(shí)需要從多路輸入數(shù)據(jù)中選出某一路數(shù)據(jù),完成此功能的邏輯器件稱為數(shù)據(jù)選擇器,即所謂多路開(kāi)關(guān),簡(jiǎn)稱MUX(Multiplexer)...

2020-07-20 標(biāo)簽:Verilog HDL多路選擇器 4462 0

7段譯碼器的Verilog HDL源代碼

電子發(fā)燒友網(wǎng)核心提示: 本例程是Verilog HDL源代碼:關(guān)于基本組合邏輯功能中7段譯碼器的功能實(shí)現(xiàn)源代碼。注意:程序運(yùn)行在不同軟件平臺(tái)可能要作一些...

2012-10-15 標(biāo)簽:譯碼器Verilog HDLHDL源代碼 2.3萬(wàn) 0

查看更多>>

verilog hdl數(shù)據(jù)手冊(cè)

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Maxim Integrated
    Maxim Integrated
    +關(guān)注
    在 Maxim Integrated,我們正在解決工程問(wèn)題并支持設(shè)計(jì)創(chuàng)新,使我們的客戶能夠創(chuàng)造出塑造我們世界的產(chǎn)品。我們創(chuàng)新的高性能模擬和混合信號(hào)產(chǎn)品和技術(shù)使系統(tǒng)更小、更智能,同時(shí)增強(qiáng)了安全性并提高了能源效率。
  • USB3.1
    USB3.1
    +關(guān)注
    USB 3.1 Gen2是最新的USB規(guī)范,該規(guī)范由英特爾等公司發(fā)起。數(shù)據(jù)傳輸速度提升可至速度10Gbps。與USB 3.0(即USB3.1 Gen1)技術(shù)相比,新USB技術(shù)使用一個(gè)更高效的數(shù)據(jù)編碼系統(tǒng),并提供一倍以上的有效數(shù)據(jù)吞吐率。
  • CC3200
    CC3200
    +關(guān)注
  • ADXL362
    ADXL362
    +關(guān)注
    ADI有定制一些極致的產(chǎn)品,ADXL362。主要針對(duì)運(yùn)動(dòng)健康類的檢測(cè)。用戶希望在運(yùn)動(dòng)時(shí)啟動(dòng)運(yùn)動(dòng)分析,在相對(duì)靜止時(shí),系統(tǒng)可以休眠以節(jié)省功耗。
  • 時(shí)鐘信號(hào)
    時(shí)鐘信號(hào)
    +關(guān)注
    時(shí)鐘信號(hào)是計(jì)算機(jī)科學(xué)以及相關(guān)領(lǐng)域用語(yǔ),時(shí)鐘信號(hào)通常被用于同步電路當(dāng)中,扮演計(jì)時(shí)器的角色,保證相關(guān)的電子組件得以同步運(yùn)作。時(shí)鐘信號(hào)是由時(shí)鐘發(fā)生器產(chǎn)生的。它有只有兩個(gè)電平,一是低電平,另一個(gè)是高電平。高電平可以根據(jù)電路的要求而不同,例如 TTL 標(biāo)準(zhǔn)的高電平是 5V。
  • D-PHY
    D-PHY
    +關(guān)注
    D-PHY,是MIPI 協(xié)議中的一項(xiàng),D-PHY提供了對(duì)DSI (串行顯示接口)和CSI(串行攝像頭接口)在物理層上的定義D-PHY 描述了源同步,高速,低功耗的物理層。
  • 藍(lán)牙BLE
    藍(lán)牙BLE
    +關(guān)注
    藍(lán)牙ble稱低功耗藍(lán)牙。低功耗藍(lán)牙是藍(lán)牙技術(shù)聯(lián)盟設(shè)計(jì)和銷售的一種個(gè)人局域網(wǎng)技術(shù)。旨在用于醫(yī)療保健、運(yùn)動(dòng)健身、信標(biāo)、安防、家庭娛樂(lè)等領(lǐng)域的新興應(yīng)用。相較經(jīng)典藍(lán)牙,低功耗藍(lán)牙旨在保持同等通信范圍的同時(shí)顯著降低功耗和成本。
  • 匯頂
    匯頂
    +關(guān)注
    匯頂科技成立于2002年,作為人機(jī)交互領(lǐng)域可靠的技術(shù)與解決方案提供商,在包括手機(jī)、平板和可穿戴產(chǎn)品在內(nèi)的智能移動(dòng)終端人機(jī)交互技術(shù)領(lǐng)域不斷取得新進(jìn)展,陸續(xù)推出擁有自主知識(shí)產(chǎn)權(quán)的Goodix Link技術(shù) 、 指紋識(shí)別與觸控一體化的IFS技術(shù) 、活體指紋檢測(cè)技術(shù)等 。
  • 射頻功率放大器
    射頻功率放大器
    +關(guān)注
    射頻功率放大器是對(duì)輸出功率、激勵(lì)電平、功耗、失真、效率、尺寸和重量等問(wèn)題作綜合考慮的電子電路,它還是各式各樣無(wú)線發(fā)射機(jī)的重要組成部分。
  • 原邊反饋
    原邊反饋
    +關(guān)注
  • 集成運(yùn)算放大器
    集成運(yùn)算放大器
    +關(guān)注
    集成運(yùn)算放大器簡(jiǎn)稱集成運(yùn)放,是由多級(jí)直接耦合放大電路組成的高增益模擬集成電路。自從1964年美國(guó)仙童半導(dǎo)體公司研制出第一個(gè)單片集成運(yùn)算放大器μA702以來(lái),集成運(yùn)算放大器得到了廣泛的應(yīng)用,它已成為線性集成電路中品種和數(shù)量最多的一類。
  • AD1674
    AD1674
    +關(guān)注
  • ab類功放
    ab類功放
    +關(guān)注
  • 4.5G
    4.5G
    +關(guān)注
  • BAW
    BAW
    +關(guān)注
  • MVG
    MVG
    +關(guān)注
  • vout
    vout
    +關(guān)注
  • AD9858
    AD9858
    +關(guān)注
  • MU-MIMO
    MU-MIMO
    +關(guān)注
  • 分壓
    分壓
    +關(guān)注
  • AD8138
    AD8138
    +關(guān)注
  • 差分驅(qū)動(dòng)器
    差分驅(qū)動(dòng)器
    +關(guān)注
  • 電容測(cè)試儀
    電容測(cè)試儀
    +關(guān)注
  • 選頻放大器
    選頻放大器
    +關(guān)注
      選頻放大器(frequency selective amplifier)對(duì)某一段頻率或單一頻率的信號(hào)具有突出的放大作用,而對(duì)其他頻率的信號(hào)具有較強(qiáng)抑制作用的放大單元。
  • 納芯微
    納芯微
    +關(guān)注
    蘇州納芯微電子股份有限公司 (Suzhou NOVOSENSE Microelectronics Co., Ltd.) 是高性能高可靠性模擬芯片的研發(fā)設(shè)計(jì)企業(yè)。
  • AD9958
    AD9958
    +關(guān)注
  • Atlas
    Atlas
    +關(guān)注
  • MCP3421
    MCP3421
    +關(guān)注
  • Celeno
    Celeno
    +關(guān)注
  • 直流放大器
    直流放大器
    +關(guān)注
    直流放大器就是能放大直流信號(hào)的放大器。直流放大器常用于測(cè)量?jī)x表。在高精度電位測(cè)量和生物電與物理電測(cè)量中,電信號(hào)往往很弱,而且變化緩慢,含有直流成分,經(jīng)放大后才便于檢測(cè)、記錄和處理。此外,在許多情況下,被測(cè)信號(hào)源的內(nèi)阻高,要求放大器具有高增益和高輸入阻抗。具有這種特性的直流放大器也適合用作運(yùn)算放大器。
換一批

關(guān)注此標(biāo)簽的用戶(17人)

jf_37439352 1114502831 jf_10800708 發(fā)發(fā)開(kāi)開(kāi)心心 LYQWMY c123cccc 不羈的前奏D 咳咳12138 瑯琊一方 youwozuozhujun 夢(mèng)情緣 有生之年_欣喜相逢c

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語(yǔ)言教程專題