0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標簽 > FPGA設計

FPGA設計

+關(guān)注 0人關(guān)注

FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。

文章: 348
視頻: 50
瀏覽: 26452
帖子: 90

FPGA設計簡介

  fpga你可以理解成把一堆邏輯器件比如與門,或門,選擇器等放在一個盒子里,盒子周圍就是片子的引腳。通過邏輯編寫,把許多的門和許多選擇器等器件串聯(lián)或并聯(lián)引腳上。就等于把數(shù)電實驗在fpga里面做。

  arm的編程指的是c語言或者匯編的編程,arm一條條的讀取語句,順序?qū)崿F(xiàn)其功能。

  fpga的編程則完全不同。fpga編程本身指的就是編輯其內(nèi)部的電路結(jié)構(gòu)。fpga運行代碼也不是一條條執(zhí)行的,而是讀入代碼之后就生成了邏輯門結(jié)構(gòu)。這些邏輯門結(jié)構(gòu)是并行運作的。給輸入就同時產(chǎn)生輸出。所以fpga的編程語言叫做hdl(硬件描述語言)。hdl中的語句執(zhí)行是不分先后的。

FPGA設計百科

  FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點。

  開發(fā)

  FPGA的開發(fā)相對于傳統(tǒng)PC、單片機的開發(fā)有很大不同。FPGA以并行運算為主,以硬件描述語言來實現(xiàn);相比于PC或單片機(無論是馮諾依曼結(jié)構(gòu)還是哈佛結(jié)構(gòu))的順序操作有很大區(qū)別,也造成了FPGA開發(fā)入門較難。目前國內(nèi)有專業(yè)的FPGA外協(xié)開發(fā)廠家,如[北京中科鼎橋ZKDQ-TECH]等。FPGA開發(fā)需要從頂層設計、模塊分層、邏輯實現(xiàn)、軟硬件調(diào)試等多方面著手。

  FPGA怎么理解

  fpga你可以理解成把一堆邏輯器件比如與門,或門,選擇器等放在一個盒子里,盒子周圍就是片子的引腳。通過邏輯編寫,把許多的門和許多選擇器等器件串聯(lián)或并聯(lián)引腳上。就等于把數(shù)電實驗在fpga里面做。

  arm的編程指的是c語言或者匯編的編程,arm一條條的讀取語句,順序?qū)崿F(xiàn)其功能。

  fpga的編程則完全不同。fpga編程本身指的就是編輯其內(nèi)部的電路結(jié)構(gòu)。fpga運行代碼也不是一條條執(zhí)行的,而是讀入代碼之后就生成了邏輯門結(jié)構(gòu)。這些邏輯門結(jié)構(gòu)是并行運作的。給輸入就同時產(chǎn)生輸出。所以fpga的編程語言叫做hdl(硬件描述語言)。hdl中的語句執(zhí)行是不分先后的。

  工程師談:FPGA設計之硬件

  做FPGA也有兩年了,從剛開始的Verilog開始學起,到后來的最小系統(tǒng),再到颶風II代開發(fā)板設計,到現(xiàn)在的XILINX XC2C系列CPLD開發(fā),覆蓋了硬件設計底層的一些經(jīng)驗。其實自己很想玩下FPGA的嵌入式,覺得很有前途的,但是后來自己也只是在學校開發(fā)板上過了下癮。談談如何玩FPGA吧

  要了解FPGA首先弄懂它的結(jié)構(gòu),F(xiàn)PGA是基于LUT結(jié)構(gòu),在器件選型的時候一般是參考它的邏輯單元的大小,然后是功耗和匹配電平的關(guān)系,IO口供電電平;內(nèi)部PLL供電電平;所有的FPGA工作電壓都是在3.3V,2.5V,1.2V三者之間選擇,當然一定要弄清楚IO口是基于CMOS還是TTL工藝的,兩者不能混淆。

  選好器件后,接下來是原理圖設計。在原理圖設計中一般要注意去耦電容的容值大小,時鐘電路,內(nèi)部鎖相環(huán)電路,下載電路。一般AS下載電路還要注意匹配的配置芯片的大小,在器件手冊上都能查到,所以在設計前一定要通讀對應的器件手冊,一般的配置電路手冊上都有參考,少走很多彎路。設計好原理圖后一般要考慮下面IO口的接法:GCLK/GRST;這些復用的管腳一般不用作普通的IO口。而用作對應的第二功能。

  原理圖設計好后重點是PCB的設計,在設計前一定要反復檢查原理圖,是否有原理上的錯誤,一定注意電源電路的設計完整性。對于一般的TQFP封裝的芯片要注意采用雙面板我習慣把電源放在底層,濾波電容也通過過孔置于底層,在設計中當然是先布電源,時鐘,最后布信號線了,其中的一些小的細節(jié)不用我啰嗦了,想必各位比我還厲害了。

  完成整個硬件電路板的設計后,接下來就是程序的設計了,這里面靈活性很大,在設計中一定要注意語法的嚴謹性,一個小小的“《=“都會帶來無盡的麻煩,在完成程序設計后,一定要進行時序仿真,我一般是先把模塊分塊利用工具內(nèi)部波形仿真來查看時序,接著采用SIGNAL TAP II進行內(nèi)部邏輯分析,這個花了太多時間了,一個仿真就要幾個小時。所以還是用TESTBENCH比較實際,注意是測試信號建立時間保持時間是否滿足時序要求。

查看詳情

fpga設計知識

展開查看更多

fpga設計技術(shù)

使用Xilinx口袋實驗平臺,動手FPGA設計!

以Xilinx公司最新的Vivado FPGA集成開發(fā)環(huán)境為基礎(chǔ),將數(shù)字邏輯設計與硬件描述語言Verilog HDL相結(jié)合,循序漸進地介紹了基于Xili...

2017-12-27 標簽:fpgaFPGA設計xilinx 1.4萬 0

FPGA設計需要注意哪些要點

FPGA的用處比我們平時想象的用處更廣泛,原因在于其中集成的模塊種類更多,而不僅僅是原來的簡單邏輯單元(LE)。 早期的FPGA相對比較簡單,所有的功能...

2018-09-07 標簽:fpgaFPGA設計 1.3萬 0

FPGA設計思想,速度和面積互換原則不可忽視

流水線設計可以從某種程度上提高系統(tǒng)頻率。。前提是:設計可以分為若干步驟進行處理,而且整個數(shù)據(jù)處理的過程是單向的,即沒有反饋或者逆運算、前一個步驟的輸出是...

2017-12-18 標簽:fpgaFPGA設計可編程邏輯 1.1萬 0

探討基于sopc技術(shù)的fpga集成嵌入式系統(tǒng)設計

探討基于sopc技術(shù)的fpga集成嵌入式系統(tǒng)設計

可編程片上系統(tǒng)( SoPC)是在可編程邏輯器件的基礎(chǔ)上發(fā)展起來的一種靈活、高效的嵌入式系統(tǒng)設計解決方案,系統(tǒng)設計者可以從傳統(tǒng)的板級系統(tǒng)設計轉(zhuǎn)換到芯片級系...

2017-12-02 標簽:FPGA設計SOPC技術(shù) 7600 0

你一定想知道FPGA的那些事...

作者:張澤小腳丫STEP 導讀:FPGA(Field Programmable Gate Array)譯作中文為:現(xiàn)場可編程門陣列,也就是設計者可以在現(xiàn)...

2018-06-14 標簽:fpgaFPGA設計Altera 6906 0

基于FPGA自適應數(shù)字頻率計的設計

基于FPGA自適應數(shù)字頻率計的設計

在電子工程,資源勘探,儀器儀表等相關(guān)應用中,頻率計是工程技術(shù)人員必不可少的測量工具。頻率測量也是電子測量技術(shù)中最基本最常見的測量之一。不少物理量的測量,...

2019-01-01 標簽:FPGA設計 6541 0

介紹FPGA在線調(diào)試的一大利器—VIO

介紹FPGA在線調(diào)試的一大利器—VIO

之前的文章介紹了FPGA在線調(diào)試的方法,包括選定抓取信號,防止信號被優(yōu)化的方法等等。

2023-06-20 標簽:FPGA設計DDS觸發(fā)器 6289 0

FPGA設計的十五條原則詳細解析

1、硬件設計基本原則 (1)速度與面積平衡和互換原則:一個設計如果時序余量較大,所能跑的頻率遠高于設計要求,能可以通過模塊復用來減少整個設計消耗的...

2017-12-19 標簽:fpgaFPGA設計 6246 0

ISE中ChipScope使用教程

ISE中ChipScope使用教程

ChipScope是Xilinx提供的一個校驗FPGA設計的工具。它的本質(zhì)是一個虛擬的邏輯分析儀,能調(diào)用FPGA內(nèi)部的邏輯資源對代碼中的各個變量進行抓取分析。

2023-05-08 標簽:FPGA設計led燈ROM 6135 0

基于單光子探測的時間相關(guān)計數(shù)TCSPC設計實現(xiàn)

基于單光子探測的時間相關(guān)計數(shù)TCSPC設計實現(xiàn)

TCSPC時間相關(guān)單光子計數(shù)技術(shù)是一種成熟且通用的單光子計數(shù)技術(shù),是一種功能強大的分析方法,目前廣泛應用于熒光壽命測量、時間分辨光譜、熒光壽命成像、飛行...

2023-09-22 標簽:FPGA設計存儲器數(shù)字轉(zhuǎn)換器 5983 3

查看更多>>

fpga設計資訊

FPGA設計實例全攻略(一)

FPGA因為具備接口,控制,功能IP,內(nèi)嵌CPU等特點有條件實現(xiàn)一個構(gòu)造簡單,固化程度高,功能全面的系統(tǒng)產(chǎn)品設計將是FPGA技術(shù)應用最廣大的市場,具有極...

2012-02-29 標簽:FPGAFPGA設計電子發(fā)燒友 2.5萬 0

FPGA設計約束技巧之XDC約束之I/O篇 (上)

FPGA設計約束技巧之XDC約束之I/O篇 (上)

從UCF到XDC的轉(zhuǎn)換過程中,最具挑戰(zhàn)的可以說便是本文將要討論的I/O約束了。 I/O 約束的語法 XDC 中可以用于 I/O 約束的命令包括 set_...

2017-11-17 標簽:fpgaFPGA設計xdc 1.3萬 0

全硬件TCP/IP協(xié)議棧學習筆記 (第八天:W5500+FPGA實現(xiàn)tcp連接)

經(jīng)歷了一個多月的調(diào)試,最終終于使用basys2將tcp模式配置成功了。 首先說一下思路吧,首先W5500的發(fā)送與接收與開發(fā)板的通信使用的是SPI協(xié)議,下...

2017-12-18 標簽:FPGA設計tcp 1.1萬 0

FPGA典型設計方案精華匯總(一)

FPGA典型設計方案精華匯總(一)

信號發(fā)生器又稱信號源或振蕩器,在生產(chǎn)實踐和科技領(lǐng)域中有著廣泛的應用。能夠產(chǎn)生多種波形,如三角波、鋸齒波、矩形波(含方波)、正弦波的電路被稱為函數(shù)信號發(fā)生...

2012-05-30 標簽:FPGAFPGA設計信號發(fā)生器 1.1萬 1

FPGA設計中的時序問題的詳細分析與解決方案

FPGA設計中的時序問題的詳細分析與解決方案

耗費數(shù)月精力做出的設計卻無法滿足時序要求,這確實非常令人傷心。然而,試圖正確地對設計進行約束以保證滿足時序要求的過程幾乎同樣令人費神。找到并確定時序約束...

2017-11-24 標簽:fpgaFPGA設計時序問題 9795 0

全硬件TCP/IP協(xié)議棧學習筆記(第七天:FPGA+W5500 PING通)

在一周多的時間,從軟件的協(xié)議到芯片接口最后到硬件描述,我覺得是時候試一試芯片了,看一看能不能ping通。兩天時間終于完成第一次ping,也挺不容易的。 ...

2017-12-18 標簽:FPGA設計tcp 8879 0

FPGA設計實例全攻略(三)

把相對成熟的技術(shù)應用到某些特定領(lǐng)域如通訊,視頻,信息處理等等開發(fā)出滿足行業(yè)需要并能被行業(yè)客戶接受的產(chǎn)品這方面主要是FPGA技術(shù)和專業(yè)技術(shù)的結(jié)合問題,另外...

2012-06-14 標簽:FPGAFPGA設計電子發(fā)燒友網(wǎng) 8508 0

FPGA設計約束技巧之XDC約束之I/O篇(下)

FPGA設計約束技巧之XDC約束之I/O篇(下)

XDC中的I/O約束雖然形式簡單,但整體思路和約束方法卻與UCF大相徑庭。加之FPGA的應用特性決定了其在接口上有多種構(gòu)建和實現(xiàn)方式,所以從UCF到XD...

2017-11-17 標簽:fpgaFPGA設計xdc 7195 0

基于LV FPGA設計濾波器步驟詳解

基于LV FPGA設計濾波器步驟詳解

對于NI很多專注于數(shù)據(jù)采集領(lǐng)域的客戶來說,對采集到的信號在前端加入濾波功能是非常常見的需求。但是,可能由于他們對NI產(chǎn)品不夠了解,不知道這部分功能完全可...

2017-11-15 標簽:fpgaFPGA設計 7140 0

FPGA在深度學習領(lǐng)域的應用

本文從硬件加速的視角考察深度學習與FPGA,指出有哪些趨勢和創(chuàng)新使得這些技術(shù)相互匹配,并激發(fā)對FPGA如何幫助深度學習領(lǐng)域發(fā)展的探討。

2019-06-28 標簽:FPGA設計深度學習 6842 0

查看更多>>

fpga設計數(shù)據(jù)手冊

相關(guān)標簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風標電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機及外圍器件。
  • 靜電防護
    靜電防護
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災和爆炸、電子器件失效和損壞,以及對生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會使編程的可視化和交互性加強,編程門檻降低,即使沒有編程經(jīng)驗的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識別
    識別
    +關(guān)注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關(guān)注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來實現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應用極限,汽車工業(yè)正面臨新的設計挑戰(zhàn)。過去汽車電子產(chǎn)品的開發(fā)周期是漫長的,而許多汽車制造商現(xiàn)正致力于在更短的時間內(nèi),裝備消費者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長寬,直插,貼片,焊盤的大小,管腳的長寬,管腳的間距等)用圖形方式表現(xiàn)出來,以便可以在畫pcb圖時進行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設計輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設計輸入到硬件配置的完整PLD設計流程。
  • PCB封裝庫
    PCB封裝庫
    +關(guān)注
  • 語音交互
    語音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對的市場領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實驗設計制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時間,而且元件可以重復使用,所以非常適合電子電路的組裝、調(diào)試和訓練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會阻礙交變電流的流動,合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對齊的數(shù)據(jù)傳輸,同時在突發(fā)傳輸中,只需要首地址,同時分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進行時序收斂。AXI是AMBA中一個新的高性能協(xié)議。
  • 驅(qū)動電流
    驅(qū)動電流
    +關(guān)注
  • 時鐘源
    時鐘源
    +關(guān)注
    時鐘源用來為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時鐘脈沖信號。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價格實現(xiàn)與其相當性能,性價比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時間的倒數(shù),模擬量可以是電壓、電流等電信號,也可以是壓力、溫度、濕度、位移、聲音等非電信號;而AD分辨率指數(shù)字量變化一個最小量時模擬信號的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注

關(guān)注此標簽的用戶(9人)

1114502831 宋從超 唐老鴨 taylors liult03 阮如媛 藍天之約lc undefined_c1a 三四二一

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題