0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > fpga設(shè)計(jì)

fpga設(shè)計(jì)

fpga設(shè)計(jì)

+關(guān)注9人關(guān)注

FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。

文章:348個(gè) 瀏覽:26452 帖子:90個(gè)

fpga設(shè)計(jì)技術(shù)

Xilinx FPGA AXI4總線(二)用實(shí)例介紹5個(gè)讀寫通道

Xilinx FPGA AXI4總線(二)用實(shí)例介紹5個(gè)讀寫通道

AXI4協(xié)議是一個(gè)點(diǎn)對點(diǎn)的主從接口協(xié)議,數(shù)據(jù)可以同時(shí)在主機(jī)(Master)和從機(jī)(Slave)之間**雙向** **傳輸** ,且數(shù)據(jù)傳輸大小可以不同。

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)FIFO存儲BRAM 3253 0

Xilinx FPGA AXI4總線(一)介紹【AXI4】【AXI4-Lite】【AXI-Stream】

Xilinx FPGA AXI4總線(一)介紹【AXI4】【AXI4-Lite】【AXI-Stream】

從 FPGA 應(yīng)用角度看看 AMBA 總線中的 AXI4 總線。

2023-06-21 標(biāo)簽:控制器FPGA設(shè)計(jì)DDR 2190 0

FPGA仿真必備—Matlab生成.mif/.txt/.coe文件

FPGA仿真必備—Matlab生成.mif/.txt/.coe文件

.mif 和 .coe 是 FPGA 設(shè)計(jì)中常用的存儲文件,用于 ROM、RAM 等存儲器數(shù)據(jù)的加載,常見的還用在 DDS 信號發(fā)生器和 FIR 濾波器...

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)存儲器DDS 5351 0

CDC跨時(shí)鐘域處理及相應(yīng)的時(shí)序約束

CDC(Clock Domain Conversion)跨時(shí)鐘域分單bit和多bit傳輸

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)RAMCDC 1680 0

如何正確使用in_system_ibert呢?

如何正確使用in_system_ibert呢?

對應(yīng)的IP端口處也會出現(xiàn)相應(yīng)的端口,例如上圖選擇了2個(gè)Quad,也就是8個(gè)通道的串行收發(fā)器,那么對應(yīng)的drp接口就有8個(gè)。

2023-06-21 標(biāo)簽:收發(fā)器FPGA設(shè)計(jì)串行收發(fā)器 1042 0

講解幾點(diǎn)關(guān)于FIFO IP核使用時(shí)的注意事項(xiàng)

講解幾點(diǎn)關(guān)于FIFO IP核使用時(shí)的注意事項(xiàng)

FIFO?還是FIFO IP核?這也需要寫總結(jié)嗎?太容易了吧。如果我是一個(gè)正在處于面試找工作中的年輕人,肯定關(guān)注的是如何手撕FIFO,這也是當(dāng)時(shí)校招時(shí)候...

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)寄存器RAM 1327 0

Xilinx的高質(zhì)量時(shí)鐘輸出ODDR原語

Xilinx的高質(zhì)量時(shí)鐘輸出ODDR原語

在SDR接口中,ODDR轉(zhuǎn)發(fā)時(shí)鐘(仍在時(shí)鐘樹內(nèi)),輸出端要直連到輸出port,不可加邏輯,連接方式:輸出時(shí)鐘連接ODDR的C引腳,D1固定值1&apos...

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)SDRRTL 1401 0

ZC706評估板IBERT誤碼率測試和眼圖掃描

ZC706評估板IBERT誤碼率測試和眼圖掃描

IBERT(Integrated Bit ErrorRatio Tester,集成誤比特率測試工具),是Xilinx提供用于調(diào)試FPGA高速串行接口比特...

2023-06-21 標(biāo)簽:收發(fā)器FPGA設(shè)計(jì)寄存器 3664 0

關(guān)于行為仿真的一點(diǎn)觀點(diǎn)

關(guān)于行為仿真的一點(diǎn)觀點(diǎn)

仿真是為了仿真,所以不要設(shè)置極限情況,例如在時(shí)鐘上升沿通過阻塞賦值給數(shù)據(jù),應(yīng)該避免這種情況;

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)仿真器Vivado 452 0

FPGA設(shè)計(jì)心得之Aurora IP核例子簡析與仿真

FPGA設(shè)計(jì)心得之Aurora IP核例子簡析與仿真

FLow Control 暫時(shí)選擇為None。(有必要后面專門研究,暫時(shí)最主要的還是弄懂用戶接口信號的用法?。?/p>

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)移位寄存器狀態(tài)機(jī) 1602 0

你真的會Xilinx FPGA的復(fù)位嗎?

你真的會Xilinx FPGA的復(fù)位嗎?

對于復(fù)位信號的處理,為了方便我們習(xí)慣上采用全局復(fù)位,博主在很長一段時(shí)間內(nèi)都是將復(fù)位信號作為一個(gè)I/O口,通過撥碼開關(guān)硬件復(fù)位。

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)觸發(fā)器狀態(tài)機(jī) 1122 0

不得不讀的Xilinx FPGA復(fù)位策略

不得不讀的Xilinx FPGA復(fù)位策略

盡量少使用復(fù)位,特別是少用全局復(fù)位,能不用復(fù)位就不用,一定要用復(fù)位的使用局部復(fù)位;

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)微處理器觸發(fā)器 2370 0

乘法器的Verilog HDL實(shí)現(xiàn)方案

乘法器的Verilog HDL實(shí)現(xiàn)方案

兩個(gè)N位二進(jìn)制數(shù)x、y的乘積用簡單的方法計(jì)算就是利用移位操作來實(shí)現(xiàn)。

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)HDL乘法器 991 0

怎么通過搗鼓FPGA板把數(shù)碼管給點(diǎn)亮并顯示有效信息?

怎么通過搗鼓FPGA板把數(shù)碼管給點(diǎn)亮并顯示有效信息?

今天我們?nèi)蝿?wù)是通過小腳丫板載的兩個(gè)數(shù)碼管來顯示字符,所以首先我們要了解一下數(shù)碼管的基本工作原理,接下來再研究怎么通過搗鼓小腳丫把數(shù)碼管給點(diǎn)亮,并且顯示出...

2023-06-20 標(biāo)簽:FPGA設(shè)計(jì)led燈數(shù)碼管 1082 0

通過小腳丫FPGA核心開發(fā)板來進(jìn)行門電路的設(shè)計(jì)

通過小腳丫FPGA核心開發(fā)板來進(jìn)行門電路的設(shè)計(jì)

在數(shù)字電路中,門電路是最基本的構(gòu)成單位,可以說,任何復(fù)雜的數(shù)字電路系統(tǒng)都可以通過我們耳熟能詳?shù)呐c門,非門,或門,與非門,異或門等等組合實(shí)現(xiàn)。

2023-06-20 標(biāo)簽:FPGA設(shè)計(jì)led燈MOS管 1167 0

簡易FM信號解調(diào)的FPGA實(shí)現(xiàn)過程講解

簡易FM信號解調(diào)的FPGA實(shí)現(xiàn)過程講解

FM解調(diào)需要去掉載波得到基帶的信號,考慮到FM的特殊性,使用參考資料1中的小角度近似解調(diào)算法

2023-06-20 標(biāo)簽:濾波器FPGA設(shè)計(jì)正弦波 3884 0

介紹FPGA在線調(diào)試的一大利器—VIO

介紹FPGA在線調(diào)試的一大利器—VIO

之前的文章介紹了FPGA在線調(diào)試的方法,包括選定抓取信號,防止信號被優(yōu)化的方法等等。

2023-06-20 標(biāo)簽:FPGA設(shè)計(jì)DDS觸發(fā)器 6290 0

FPGA純verilog編解碼SDI實(shí)現(xiàn)流程

FPGA純verilog編解碼SDI實(shí)現(xiàn)流程

一是使用專用編解碼芯片,比如典型的接收器GS2971,發(fā)送器GS2972,優(yōu)點(diǎn)是簡單,比如GS2971接收器直接將SDI解碼為并行的YCRCB,GS29...

2023-06-19 標(biāo)簽:FPGA設(shè)計(jì)DDR3接收器 1689 0

FPGA在線調(diào)試的方法簡單總結(jié)

FPGA在線調(diào)試的方法簡單總結(jié)

Xilinx被AMD收購的事情把我震出來了,看了看上上一篇文章講了下仿真的文件操作,這篇隔了很久遠(yuǎn),不知道該從何講起,就說說FPGA的在線調(diào)試的一些簡單...

2023-06-19 標(biāo)簽:FPGA設(shè)計(jì)RTLAXI總線 1925 0

多片F(xiàn)PGA原型驗(yàn)證的限制因素有哪些?

當(dāng)SoC系統(tǒng)的規(guī)模很大的時(shí)候,單片F(xiàn)PGA驗(yàn)證平臺已經(jīng)無法容納這么多容量,我們將采取將SoC設(shè)計(jì)劃分為多個(gè)FPGA的映射。

2023-06-19 標(biāo)簽:FPGA設(shè)計(jì)連接器TDM 675 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會使編程的可視化和交互性加強(qiáng),編程門檻降低,即使沒有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識別
    識別
    +關(guān)注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關(guān)注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過去汽車電子產(chǎn)品的開發(fā)周期是漫長的,而許多汽車制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長寬,直插,貼片,焊盤的大小,管腳的長寬,管腳的間距等)用圖形方式表現(xiàn)出來,以便可以在畫pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫
    PCB封裝庫
    +關(guān)注
  • 語音交互
    語音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對的市場領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會阻礙交變電流的流動,合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個(gè)新的高性能協(xié)議。
  • 驅(qū)動電流
    驅(qū)動電流
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性價(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號,也可以是壓力、溫度、濕度、位移、聲音等非電信號;而AD分辨率指數(shù)字量變化一個(gè)最小量時(shí)模擬信號的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(9人)

1114502831 宋從超 唐老鴨 taylors liult03 阮如媛 藍(lán)天之約lc undefined_c1a 三四二一

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題

電機(jī)控制 DSP 氮化鎵 功率放大器 ChatGPT 自動駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無刷電機(jī) FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機(jī) PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機(jī) SPWM 充電樁 IPM 機(jī)器視覺 無人機(jī) 三菱電機(jī) ST
伺服電機(jī) SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國民技術(shù) Microchip
瑞薩 沁恒股份 全志 國民技術(shù) 瑞芯微 兆易創(chuàng)新 芯海科技 Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚(yáng)興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費(fèi)諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機(jī) 金升陽 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運(yùn)算放大器 差動放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變增益放大器 隔離放大器
時(shí)鐘 時(shí)鐘振蕩器 時(shí)鐘發(fā)生器 時(shí)鐘緩沖器 定時(shí)器 寄存器 實(shí)時(shí)時(shí)鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚(yáng)聲器放大器 音頻轉(zhuǎn)換器 音頻開關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計(jì) 溫度傳感器 壓力傳感器
電機(jī)驅(qū)動器 步進(jìn)驅(qū)動器 TWS BLDC 無刷直流驅(qū)動器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開關(guān)電源 步進(jìn)電機(jī) 無線充電 LabVIEW EMC PLC OLED 單片機(jī)
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語音識別 萬用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機(jī)器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計(jì):PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實(shí)戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計(jì)教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語言基礎(chǔ)教程,c語言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點(diǎn)原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題