0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

標簽 > pld

pld

pld

+關注6人關注

PLD在計算機中PLD是可編程邏輯器件,是作為一種通用集成電路生產的,他的邏輯功能按照用戶對器件編程來決定。

文章:143 瀏覽:59288 帖子:47

pld資訊

脈沖激光沉積: 從實驗室到生產

脈沖激光沉積: 從實驗室到生產

PLD 依靠強大的準分子激光器進行各種薄膜的化學計量生產,從高級電池研究到超導帶材的批量生產。 有許多方法可以生產用于電子、光學和光子應用的各種類型的薄...

2024-08-14 標簽:激光器PLD脈沖激光 173 0

歷史中的佼佼者,F(xiàn)PGA為何能夠脫穎而出?

數字電路有兩大類:組合電路和時序電路,時序電路即“組合電路+存儲”。所有組合電路都有對應的真值表,F(xiàn)PGA的可編程邏輯塊中的LUT,本質上是一個對應真值...

2024-02-21 標簽:fpgaasicPLD 451 0

在一個系統(tǒng)中,包含了dsp和pld,請問布線時要注意哪些問題呢?

在一個系統(tǒng)中,包含了dsp和pld,請問布線時要注意哪些問題呢? 在設計和布線系統(tǒng)中同時包含DSP(數字信號處理器)和PLD(可編程邏輯器件)時,有一些...

2023-11-24 標簽:dspPLD數字信號處理器 473 0

可配置混合信號芯片

可配置混合信號芯片

MS-PLD(Mixed-SignalProgrammingLogicDevice)芯片能夠提供可配置的邏輯和混合信號生成等功能,與分離元件相比,具有速...

2023-10-18 標簽:芯片混合信號PLD 886 0

什么叫可編程邏輯器件 可編程邏輯器件有哪些特征和優(yōu)勢?

可編程邏輯器件(Programmable Logic Device,PLD)是一類集成電路器件,可以根據用戶的需求進行編程和配置,以實現(xiàn)特定的邏輯功能。...

2023-09-14 標簽:集成電路cpldPLD 2514 0

FPGA:它們有什么用?

自 20 世紀 80 年代中期推出以來,可編程邏輯已在一系列應用中得到廣泛采用?,F(xiàn)代可編程邏輯器件已經從可編程邏輯器件(PLD)和復雜可編程邏輯器件(C...

2022-12-29 標簽:fpgacpldPLD 1204 0

FPGA,PLD,CPLD,PLC,DSP什么區(qū)別

FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎上進一步發(fā)展...

2022-11-09 標簽:dspfpgacpld 4028 0

需求跟蹤工具也可以管理遺留系統(tǒng)

  最重要的是,在未來幾年,軍事和航空航天客戶可能會要求對所有技術項目采取越來越透明和需求驅動的方法。目前,重點是新的設備和系統(tǒng),但似乎不可避免的是,很...

2022-11-08 標簽:電路板仿真PLD 619 0

怎樣分析PLD(可編程器件)邏輯綜合結果是否正確呢

Quarus Ⅱ工具提供四種手段分析邏輯綜合結果,包括:RTL Viewer、Technology Viewer、PowerPlay Power Ana...

2022-08-25 標簽:PLDRTL可編程器件 1344 0

可編程邏輯電路設計

PLD可編程器件的發(fā)展方向是高密度、高速度、低功耗。隨著PLD的設計規(guī)模越來越大,電子設計自動化(EDA)已經成為其主要設計手段。

2022-08-22 標簽:sramPLDEEPROM 1362 0

芯片設計之Quarus 工具部分功能簡介

Quarus Ⅱ工具可以使用宏單元進行邏輯優(yōu)化,該宏單元是可編程器件生產商設計完成的固定模塊如加法器、乘法器等。上述宏單元的效用優(yōu)于設計人員自主編寫的代...

2022-08-17 標簽:PLD代碼Quarus 874 0

可編輯邏輯的優(yōu)點

第一個商業(yè)化的可編程邏輯器件(Programmable Logic Device,PLD),是由Monolithic內存公司推出的可編程陣列邏輯(Pro...

2022-08-16 標簽:存儲器PLD可編輯邏輯 1792 0

PLD的設計流程

RTL級的電路仿真(RTL Simulation)。進行仿真的工具既可以運用Altera公司的Quarus Ⅱ內嵌仿真工具,也可以運用Modelsim仿...

2022-08-15 標簽:電路設計PLDQuarus 2120 0

毛刺的產生原因:冒險和競爭

冒險按照產生方式分為靜態(tài)冒險 & 動態(tài)冒險兩大類。靜態(tài)冒險指輸入有變化,而輸出不應該變化時產生的窄脈沖;動態(tài)冒險指輸入變化時,輸出也應該變化時產...

2022-04-29 標簽:fpgaPLD毛刺 4976 0

ISE14.7基本介紹及安裝

ISE14.7基本介紹及安裝

1. 軟件基本介紹 軟件基本介紹 : ISE 的全稱為 Integrated Software Environment,即“集成軟件環(huán)境”, 是 Xil...

2021-11-09 標簽:Xilinx軟件PLD 1.4萬 0

pld控制原理是什么

編程數據存儲單元以陣列形式分布在FPGA中,一般把所有超過某一集成度PLD器件都稱為CPLD。 編程數據流由開發(fā)軟件自動生成,數據以串行方式移入移位寄存...

2021-10-01 標簽:fpga控制器PLD 7069 0

基于SRAM技術的Xilinx FPGA具有較高的邏輯密度

基于SRAM技術的Xilinx FPGA具有較高的邏輯密度,消耗較高功率; 基于閃存技術的Xilinx CPLD具有較低的邏輯密度,功耗也比較低。為了提...

2021-06-01 標簽:fpgacpld電路板 2333 0

如何簡化FPGA電源系統(tǒng)管理?

如何簡化FPGA電源系統(tǒng)管理?

現(xiàn)場可編程門陣列(FPGA)的起源可以追溯到20世紀80年代,從可編程邏輯器件(PLD)演變而來。自此之后,F(xiàn)PGA資源、速度和效率都得到快速改善,使F...

2020-10-30 標簽:電源fpga電壓 1785 0

對現(xiàn)代EDA技術的概念及特點介紹

總而言之,將現(xiàn)代EDA技術融入數字電路課程內容,應當從根本上做起。將全新的設計思路和方法滲透到教學到中去,跟上電子科技發(fā)展的步伐,密切結合當今的生產實際...

2020-10-02 標簽:集成電路半導體eda 6407 0

關于可編程邏輯器件未來的發(fā)展趨勢

PLD/FPGA是近幾年集成電路中發(fā)展最快的產品。由于PLD性能的高速發(fā)展以及設計人員自身能力的提高,可編程邏輯器件供應商將進一步擴大可編程芯片的領地,...

2020-08-11 標簽:fpgaPLD可編程邏輯 2158 0

相關標簽

相關話題

換一批
  • Protues
    Protues
    +關注
    Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風標電子技術有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機及外圍器件。
  • 靜電防護
    靜電防護
    +關注
    為防止靜電積累所引起的人身電擊、火災和爆炸、電子器件失效和損壞,以及對生產的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產生,加速靜電泄漏,進行靜電中和等。
  • Altium Designer
    Altium Designer
    +關注
  • FPGA芯片
    FPGA芯片
    +關注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎上進一步發(fā)展的產物。
  • ArduBlock
    ArduBlock
    +關注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會使編程的可視化和交互性加強,編程門檻降低,即使沒有編程經驗的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關注
  • 識別
    識別
    +關注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來實現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術和應用極限,汽車工業(yè)正面臨新的設計挑戰(zhàn)。過去汽車電子產品的開發(fā)周期是漫長的,而許多汽車制造商現(xiàn)正致力于在更短的時間內,裝備消費者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關注
    pcb封裝就是把 實際的電子元器件,芯片等的各種參數(比如元器件的大小,長寬,直插,貼片,焊盤的大小,管腳的長寬,管腳的間距等)用圖形方式表現(xiàn)出來,以便可以在畫pcb圖時進行調用。
  • QUARTUS II
    QUARTUS II
    +關注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設計輸入形式,內嵌自有的綜合器以及仿真器,可以完成從設計輸入到硬件配置的完整PLD設計流程。
  • PCB封裝庫
    PCB封裝庫
    +關注
  • 語音交互
    語音交互
    +關注
  • AD09
    AD09
    +關注
  • PDN
    PDN
    +關注
  • QuickPcb
    QuickPcb
    +關注
  • Artix-7
    Artix-7
    +關注
      Artix-7 系列:相對于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構,能滿足低成本大批量市場的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對的市場領域。
  • VHDL代碼
    VHDL代碼
    +關注
  • Protel 99 se
    Protel 99 se
    +關注
  • powerlink
    powerlink
    +關注
  • candence
    candence
    +關注
  • 面包板
    面包板
    +關注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實驗設計制造的。由于各種電子元器件可根據需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時間,而且元件可以重復使用,所以非常適合電子電路的組裝、調試和訓練。
  • 特性阻抗
    特性阻抗
    +關注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會阻礙交變電流的流動,合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內總線。它的地址/控制和數據相位是分離的,支持不對齊的數據傳輸,同時在突發(fā)傳輸中,只需要首地址,同時分離的讀寫數據通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進行時序收斂。AXI是AMBA中一個新的高性能協(xié)議。
  • 驅動電流
    驅動電流
    +關注
  • 時鐘源
    時鐘源
    +關注
    時鐘源用來為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時鐘脈沖信號。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關注
  • Kintex-7
    Kintex-7
    +關注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價格實現(xiàn)與其相當性能,性價比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關注
      AD轉換采樣頻率指完成一次從模擬轉換到數字的AD轉換所需時間的倒數,模擬量可以是電壓、電流等電信號,也可以是壓力、溫度、濕度、位移、聲音等非電信號;而AD分辨率指數字量變化一個最小量時模擬信號的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關注
  • Protel DXP
    Protel DXP
    +關注

關注此標簽的用戶(6人)

jf_48410379 ben111 jf_76605476 歲寒松柏 PLD小白 90后一場夢

編輯推薦廠商產品技術軟件/工具OS/語言教程專題

電機控制 DSP 氮化鎵 功率放大器 ChatGPT 自動駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無刷電機 FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機 PID MOSFET 傳感器 人工智能 物聯(lián)網 NXP 賽靈思
步進電機 SPWM 充電樁 IPM 機器視覺 無人機 三菱電機 ST
伺服電機 SVPWM 光伏發(fā)電 UPS AR 智能電網 國民技術 Microchip
瑞薩 沁恒股份 全志 國民技術 瑞芯微 兆易創(chuàng)新 芯海科技 Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機 金升陽 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風華高科 WINBOND 長晶科技 晶導微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運算放大器 差動放大器 電流感應放大器 比較器 儀表放大器 可變增益放大器 隔離放大器
時鐘 時鐘振蕩器 時鐘發(fā)生器 時鐘緩沖器 定時器 寄存器 實時時鐘 PWM 調制器
視頻放大器 功率放大器 頻率轉換器 揚聲器放大器 音頻轉換器 音頻開關 音頻接口 音頻編解碼器
模數轉換器 數模轉換器 數字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開關穩(wěn)壓器 DC/DC 降壓轉換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計 溫度傳感器 壓力傳感器
電機驅動器 步進驅動器 TWS BLDC 無刷直流驅動器 濕度傳感器 光學傳感器 圖像傳感器
數字隔離器 ESD 保護 收發(fā)器 橋接器 多路復用器 氮化鎵 PFC 數字電源
開關電源 步進電機 無線充電 LabVIEW EMC PLC OLED 單片機
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網 仿真器 RISC RAM 寄存器 GPU
語音識別 萬用表 CPLD 耦合 電路仿真 電容濾波 保護電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機器學習 TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設計:PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設計教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語言基礎教程,c語言基礎視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語言基礎視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題