0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

解析中國(guó)半導(dǎo)體產(chǎn)業(yè)的發(fā)展策略

我快閉嘴 ? 來(lái)源:《財(cái)經(jīng)》雜志 ? 作者:Kakuya Nishikawa 宋旭 ? 2021-01-08 16:19 ? 次閱讀

2015年7月,中國(guó)發(fā)布《中國(guó)制造2025》行動(dòng)綱領(lǐng),力爭(zhēng)通過(guò)“三步走”實(shí)現(xiàn)制造強(qiáng)國(guó)的戰(zhàn)略目標(biāo):

第一步,2015年-2025年,力爭(zhēng)用十年時(shí)間,邁入制造強(qiáng)國(guó)行列;

第二步,到2035年,制造業(yè)整體達(dá)到世界制造強(qiáng)國(guó)陣營(yíng)中等水平;

第三步,新中國(guó)成立100年時(shí),制造業(yè)大國(guó)地位更加鞏固,綜合實(shí)力進(jìn)入世界制造強(qiáng)國(guó)前列。

半導(dǎo)體產(chǎn)業(yè)是該戰(zhàn)略里的核心產(chǎn)業(yè)。根據(jù)《中國(guó)制造2025》的規(guī)劃,2020年半導(dǎo)體核心基礎(chǔ)零部件、關(guān)鍵基礎(chǔ)材料應(yīng)實(shí)現(xiàn)40%的自主保障,2025年要達(dá)到70%。然而,截至2019年,實(shí)際國(guó)產(chǎn)化比例僅為15.7%,預(yù)測(cè)2024年才能達(dá)到 20%。

中美貿(mào)易摩擦,尤其是美國(guó)對(duì)華為和中芯國(guó)際的制裁,將造成提升國(guó)產(chǎn)化比例更為艱難,但也加強(qiáng)了中國(guó)建設(shè)自主半導(dǎo)體生態(tài)的緊迫感。

好消息是,此刻正是中國(guó)投資半導(dǎo)體產(chǎn)業(yè),進(jìn)而調(diào)整中國(guó)半導(dǎo)體產(chǎn)業(yè)結(jié)構(gòu)的好時(shí)機(jī)。

半導(dǎo)體行業(yè)有一個(gè)被稱為“硅周期”的周期,每隔三到五年就會(huì)重復(fù)一次繁榮到蕭條。半導(dǎo)體市場(chǎng)目前正在繁榮波段。

據(jù)SEMI(國(guó)際半導(dǎo)體產(chǎn)業(yè)協(xié)會(huì))預(yù)測(cè),2020年半導(dǎo)體生產(chǎn)設(shè)備市場(chǎng)較2019年會(huì)增長(zhǎng)15%,增至549億美元(約人民幣3843億元),創(chuàng)下歷史新高。存儲(chǔ)芯片泡沫破滅帶來(lái)的下降行情預(yù)計(jì)在2021年見(jiàn)底反彈,之后以每年約5%-6%的速度增長(zhǎng)。5G,以及新冠疫情對(duì)在線經(jīng)濟(jì)的需求都是半導(dǎo)體產(chǎn)業(yè)下一輪增長(zhǎng)的關(guān)鍵驅(qū)動(dòng)力。

新國(guó)際形勢(shì)下,科爾尼認(rèn)為,中國(guó)半導(dǎo)體產(chǎn)業(yè)要想加速國(guó)產(chǎn)化,就需要在多個(gè)環(huán)節(jié)調(diào)整之前的發(fā)展策略。

01芯片代工與內(nèi)存芯片:

聚焦成熟工藝,吸納高端人才

半導(dǎo)體代工和內(nèi)存芯片原本是中國(guó)期望以撬動(dòng)半導(dǎo)體產(chǎn)業(yè)的兩個(gè)重要支點(diǎn)。

半導(dǎo)體產(chǎn)業(yè)鏈包括原材料與設(shè)備、設(shè)計(jì)、制造、封測(cè)四大環(huán)節(jié)。在半導(dǎo)體設(shè)計(jì)領(lǐng)域,中國(guó)已經(jīng)涌現(xiàn)了諸如華為海思等具有國(guó)際競(jìng)爭(zhēng)力的企業(yè),下一步的發(fā)展邏輯應(yīng)該抓住半導(dǎo)體代工,繼而帶動(dòng)更上游的原材料和設(shè)備,這也是為什么中國(guó)國(guó)家集成電路產(chǎn)業(yè)投資基金(下稱“大基金”)一期投資主要以半導(dǎo)體制造和設(shè)計(jì)為主。

但美國(guó)通過(guò)制裁中芯國(guó)際(中芯國(guó)際集成電路制造有限公司)已經(jīng)嚴(yán)重阻礙了這一進(jìn)程。

中芯國(guó)際是中國(guó)大陸最大的芯片代工企業(yè),也是中國(guó)大陸目前唯一正在研發(fā)7納米先進(jìn)制造工藝的企業(yè),但該公司已于2020年12月18日被美國(guó)列入禁運(yùn)實(shí)體名單,10納米及以下半導(dǎo)體芯片生產(chǎn)所需的特定技術(shù)與設(shè)備將禁止向其出口,以防止此類關(guān)鍵的技術(shù)用于中國(guó)的軍民融合。

科爾尼認(rèn)為,中芯國(guó)際等中國(guó)芯片代工廠應(yīng)改變策略,收回此前在前沿技術(shù)的投資,穩(wěn)步提高在芯片成熟工藝代工市場(chǎng)的競(jìng)爭(zhēng)力。

預(yù)計(jì)在汽車、工業(yè)通信應(yīng)用等領(lǐng)域,對(duì)基于成熟工藝的芯片用量將大幅增長(zhǎng)。這些行業(yè)使用的芯片并不需要采用14納米以下先進(jìn)工藝,但對(duì)高耐熱(散熱)、低延遲、低功耗、高安全性等要求很高,同樣也考驗(yàn)芯片代工廠的能力。中芯國(guó)際要想在此領(lǐng)域與臺(tái)積電等其他代工企業(yè)拉開(kāi)差距,需要將已經(jīng)分配到前沿領(lǐng)域的資金撤回,轉(zhuǎn)而加強(qiáng)在成熟工藝上的投資。

雖然與代工企業(yè)無(wú)關(guān),但是有兩個(gè)案例可供參考。

2015年,荷蘭恩智浦2015年以118億美元收購(gòu)美國(guó)飛思卡爾,一躍成為全球第一大汽車半導(dǎo)體廠商,且至今仍保持著領(lǐng)先地位。

另一個(gè)典型例子是瑞薩電子2017年對(duì)Intersil的收購(gòu)案例。

瑞薩電子在車載半導(dǎo)體和車載微電腦上具有優(yōu)勢(shì),而Intersil則在航天、航空和軍事應(yīng)用的模擬半導(dǎo)體(特別是電壓控制)具有優(yōu)勢(shì)。瑞薩電子認(rèn)為,Intersil的能力完全可以轉(zhuǎn)移到車載領(lǐng)域。此外,電壓控制半導(dǎo)體是“匠人領(lǐng)域”,需要極強(qiáng)的專業(yè)知識(shí)和技術(shù)積累,這使得后進(jìn)者很難追趕。通過(guò)收購(gòu)進(jìn)入這一領(lǐng)域,是一個(gè)非常明智的戰(zhàn)略選擇。

存儲(chǔ)芯片是中國(guó)另一個(gè)寄予希望的突破口。這是因?yàn)榇鎯?chǔ)芯片量大,每年存儲(chǔ)芯片出貨量占到全球芯片產(chǎn)量的三分之一,其次存儲(chǔ)芯片更加標(biāo)準(zhǔn)和通用,對(duì)生態(tài)要求遠(yuǎn)不如計(jì)算芯片。

但存儲(chǔ)芯片市場(chǎng)格局高度寡頭化,主要被三星、SK海力士、美光等少數(shù)幾家存儲(chǔ)芯片廠家所把持,中國(guó)存儲(chǔ)芯片的自給率不到5%。

2016年,中國(guó)三大存儲(chǔ)芯片廠商浮出水面,分別是清華紫光集團(tuán)出資的長(zhǎng)江存儲(chǔ)科技有限責(zé)任公司(下稱“長(zhǎng)江存儲(chǔ)”)、長(zhǎng)鑫存儲(chǔ)技術(shù)有限公司(下稱“合肥長(zhǎng)鑫”),福建省晉華集成電路有限公司(下稱“福建晉華”)。其中,長(zhǎng)江存儲(chǔ)負(fù)責(zé)3D NAND Flash(閃存)芯片,合肥長(zhǎng)鑫負(fù)責(zé)移動(dòng)式DRAM(內(nèi)存),福建晉華負(fù)責(zé)利基型DRAM。

截至目前,長(zhǎng)江存儲(chǔ)的NAND Flash研發(fā)進(jìn)展順利。該公司在2019年實(shí)現(xiàn)64層3D NAND Flash的量產(chǎn),正在計(jì)劃實(shí)現(xiàn)128層的量產(chǎn);如果成功量產(chǎn)128層3D NAND Flash,該公司將在技術(shù)上與頂級(jí)廠商并駕齊驅(qū),科爾尼預(yù)計(jì),2021年長(zhǎng)江存儲(chǔ)的市場(chǎng)份額將達(dá)到8%。

相比之下,DRAM芯片的進(jìn)度則有些滯后。

合肥長(zhǎng)鑫雖然在2020年實(shí)現(xiàn)了DRAM的量產(chǎn),但其工藝技術(shù)水平不如全球三大DRAM芯片公司(三星、美國(guó)美光、SK海力士),目前對(duì)市場(chǎng)影響也很小。

福建晉華采用中方出資,聯(lián)電(UMC)出技術(shù)的模式,但在2018年10月晉華被美國(guó)商務(wù)部列入禁運(yùn)實(shí)體名單,目前聯(lián)電也終止了與其的技術(shù)合作,晉華業(yè)務(wù)基本處于停滯狀態(tài)。

紫光集團(tuán)(長(zhǎng)江存儲(chǔ)的母公司)日前也宣布進(jìn)軍DRAM,但由于沒(méi)有制造封裝方面的專業(yè)知識(shí),自主研發(fā)預(yù)計(jì)需要三到五年的時(shí)間。

如上所述,中國(guó)內(nèi)存產(chǎn)業(yè)目前面臨的最大挑戰(zhàn)是如何加強(qiáng)實(shí)力。如果能夠收購(gòu)全球三大DRAM公司中的任何一家,就能夠切實(shí)進(jìn)軍并掌控DRAM產(chǎn)業(yè),但在當(dāng)前國(guó)際形勢(shì)下,此類跨國(guó)收購(gòu)幾無(wú)可能。此前,紫光集團(tuán)意圖收購(gòu)美光和鎧俠株式會(huì)社,并計(jì)劃出資西部數(shù)據(jù)公司,但這些計(jì)劃都因各國(guó)當(dāng)局阻撓而沒(méi)有成功。

因此,最具現(xiàn)實(shí)意義的路線是加強(qiáng)技術(shù)人才招聘,此前韓國(guó)三星就曾以高薪將日本技術(shù)人員招聘至公司,提升了整體的技術(shù)水平。

02設(shè)備企業(yè):尋找收購(gòu)或合作契機(jī)

半導(dǎo)體設(shè)備企業(yè)大致可分為“光刻設(shè)備企業(yè)”、“鍍膜沉積/刻蝕等其他設(shè)備企業(yè)”等。這是因?yàn)樵谥饕O(shè)備中,光刻設(shè)備所需要的技術(shù)尤其特殊,全球市場(chǎng)上的玩家玩法也完全不同。

在國(guó)產(chǎn)***領(lǐng)域中,上海微電子(上海微電子裝備集團(tuán)股份有限公司)一枝獨(dú)秀。其產(chǎn)品主要采用ArF、KrF和i-line光源,目前只能達(dá)到90nm制程,且主要用于IC的后道封裝和面板領(lǐng)域,比最先進(jìn)一代設(shè)備落后了20年到30年,上海微電子今后要想在全球范圍內(nèi)提高自己的地位,從外部引進(jìn)技術(shù)必不可少。

例如,上海微電子可以從尼康和佳能引進(jìn)技術(shù),具體技術(shù)引進(jìn)對(duì)象包括i-line、KrF、干法ArF和沉浸式ArF。如果要獲取EUV(極紫外光刻)技術(shù),則需要ASML的技術(shù)支持,但是作為全球龍頭企業(yè)的它們,無(wú)論是收購(gòu),技術(shù)合作還是人才招聘,都是極其困難的。所以可以從EUV之外的ArF設(shè)備廠商進(jìn)行技術(shù)引進(jìn)和學(xué)習(xí)。

尼康在沉浸式ArF光刻設(shè)備的全球市場(chǎng)份額不到10%,在干法ArF光刻設(shè)備的全球市場(chǎng)份額約為30%,但目前,由于開(kāi)發(fā)成本的縮減,相關(guān)工程師在尼康的機(jī)會(huì)也在逐步減少。佳能在i-line和KrF方面具有技術(shù)優(yōu)勢(shì)(它已經(jīng)退出了ArF之后的技術(shù)開(kāi)發(fā))。通過(guò)吸收這兩家公司的技術(shù)和人才,可以獲取從i-line到沉浸式ArF的技術(shù)開(kāi)發(fā)能力。

通觀整個(gè)半導(dǎo)體行業(yè),設(shè)備的客戶黏性很大,一旦簽約,很難被替換。在迅速崛起的中國(guó)半導(dǎo)體市場(chǎng),如果能夠提供從i-line到沉浸式ArF的全線服務(wù),構(gòu)筑自己的優(yōu)勢(shì)定位,就能與客戶公司一起積累技術(shù)知識(shí),最終具備在全球范圍內(nèi)競(jìng)爭(zhēng)的技術(shù)能力。過(guò)去,ASML也是很早就進(jìn)入到還在起步期的韓國(guó)和中國(guó)臺(tái)灣半導(dǎo)體市場(chǎng),伴隨著其成長(zhǎng)而鞏固了市場(chǎng)地位。

為了實(shí)現(xiàn)這一目標(biāo),科爾尼認(rèn)為,中國(guó)光刻設(shè)備行業(yè)下一步可考慮通過(guò)部門收購(gòu)或者人才招聘引進(jìn)的方式獲取相關(guān)技術(shù)。

半導(dǎo)體行業(yè)不乏收購(gòu)非一級(jí)梯隊(duì)企業(yè),而使買賣雙方都獲益的案例:

例如,2008年經(jīng)濟(jì)危機(jī)后,日本內(nèi)存芯片企業(yè)爾必達(dá)因現(xiàn)金流出現(xiàn)問(wèn)題進(jìn)入破產(chǎn)重組,美國(guó)公司美光趁機(jī)于2013年收購(gòu)了爾必達(dá)。

當(dāng)時(shí)這一收購(gòu)雖然在日本國(guó)內(nèi)引發(fā)大量負(fù)面評(píng)論,但如今,爾必達(dá)的廣島工廠已成功轉(zhuǎn)型為美光科技內(nèi)存業(yè)務(wù)的核心生產(chǎn)基地,擔(dān)負(fù)最前端產(chǎn)品的研發(fā)和生產(chǎn)。迄今為止, 美光已向該工廠投入了數(shù)千億日元,且計(jì)劃繼續(xù)投入同樣規(guī)模的資金,并通過(guò)招聘應(yīng)屆畢業(yè)生等措施擴(kuò)充約500名工程師的崗位。

國(guó)產(chǎn)鍍膜沉積/刻蝕設(shè)備領(lǐng)域的主要公司是北方華創(chuàng)科技集團(tuán)股份有限公司(下稱“北方華創(chuàng)”)。

北方華創(chuàng)是2016年,由北方微電子(生產(chǎn)CVD、PVD和刻蝕設(shè)備)和北京七星華創(chuàng)(生產(chǎn)七星/清洗設(shè)備和質(zhì)量流量控制器)并購(gòu)重組成立的公司,并于2017年收購(gòu)了美國(guó)清洗設(shè)備公司 Akrion Systems,擁有較為豐富的設(shè)備產(chǎn)品線。

另外,中微半導(dǎo)體設(shè)備(上海)股份有限公司已經(jīng)成功生產(chǎn)出5nm的蝕刻機(jī),并開(kāi)始獲得臺(tái)積電及長(zhǎng)江存儲(chǔ)等公司的刻蝕設(shè)備訂單。

和光刻設(shè)備行業(yè)類似,中國(guó)在鍍膜沉積/刻蝕等領(lǐng)域也不具備國(guó)際最先進(jìn)技術(shù)。也就是說(shuō),它們無(wú)法處理需要精細(xì)加工的關(guān)鍵工藝,因此開(kāi)發(fā)能夠處理這些工藝的干式蝕刻技術(shù)和ALD設(shè)備就成為當(dāng)務(wù)之急。

外部合作仍然是技術(shù)強(qiáng)化的較好選擇,如與日立高新或愛(ài)發(fā)科(ULVAC)合作。

日立高新的半導(dǎo)體部門在對(duì)精密度有極高要求的柵極蝕刻設(shè)備方面擁有超過(guò)10%的市場(chǎng)份額,但是該公司可能會(huì)因?yàn)闃I(yè)務(wù)優(yōu)化出售半導(dǎo)體部門。愛(ài)發(fā)科擁有PVD和金屬CVD/ALD設(shè)備。這兩家公司雖然都沒(méi)能進(jìn)入全球第一梯隊(duì),但它們?cè)诩夹g(shù)上都有過(guò)人之處。

近年來(lái),刻蝕和鍍膜沉積等關(guān)鍵工序中,上下游工序連接性的重要性不斷被提高,如果一家公司能同時(shí)擁有刻蝕和鍍膜沉積的高水準(zhǔn)設(shè)備,則會(huì)是一大優(yōu)勢(shì)。和光刻設(shè)備行業(yè)一樣,如何利用自己的優(yōu)勢(shì),提前進(jìn)入還在成長(zhǎng)期的中國(guó)市場(chǎng),建立自己的競(jìng)爭(zhēng)優(yōu)勢(shì),是一個(gè)關(guān)鍵戰(zhàn)略

03材料企業(yè)面前的兩大趨勢(shì)

半導(dǎo)體材料大致可分為前端晶圓制造材料和后端封裝材料,其中,晶圓材料主要有硅片、光掩膜、光刻膠、拋光液等。封裝材料主要有層壓基板、引線框架、焊線、熱接口材料等。

晶圓是制造半導(dǎo)體的關(guān)鍵材料。隨著半導(dǎo)體生產(chǎn)技術(shù)的不斷提高,晶圓整體向大尺寸發(fā)展,晶圓尺寸從早期的2英寸、4英寸,發(fā)展為現(xiàn)在的6英寸、8英寸和12英寸。

8英寸與12英寸是目前晶圓主要的尺寸。2020年之前,中國(guó)境內(nèi)主要以8英寸晶圓廠為主,隨著大基金的持續(xù)投入和地方政府的配套資金支持,多個(gè)12寸晶圓廠項(xiàng)目落地中國(guó)大陸。

SEMI的數(shù)據(jù)顯示,2017年-2020年間全球投產(chǎn)的半導(dǎo)體晶圓廠為62座,其中有26座設(shè)于中國(guó)大陸,占全球總數(shù)的42%。

好消息是,中國(guó)晶圓廠的激增將促使全球晶圓生產(chǎn)向中國(guó)轉(zhuǎn)移,根據(jù)IC Insight統(tǒng)計(jì),2018年中國(guó)大陸晶圓產(chǎn)能243萬(wàn)片/月(等效于8寸晶圓),占全球晶圓產(chǎn)能12.5%。預(yù)計(jì)到2022年,中國(guó)大陸晶圓廠產(chǎn)能將達(dá)410萬(wàn)片/月,占全球產(chǎn)能17.15%。

但晶圓的國(guó)產(chǎn)化比例僅有5%-10% 左右,特別是供尖端領(lǐng)域使用的12英寸晶圓,才剛剛初步形成商業(yè)化供給。

科爾尼認(rèn)為,中國(guó)不僅有必要建立自己的大型晶圓廠,保證相應(yīng)的產(chǎn)量、質(zhì)量和成本水平,還應(yīng)加快整合中國(guó)境內(nèi)8 英寸與12英寸的晶圓廠,建成有競(jìng)爭(zhēng)力的大型晶圓廠商。

2016年,晶圓行業(yè)排名第六的中國(guó)臺(tái)灣環(huán)球晶圓(GlobalWafers)收購(gòu)了全球第四大半導(dǎo)體晶圓供應(yīng)商SunEdison Semiconductor(SEMI),一躍成為行業(yè)第三。

當(dāng)時(shí),晶圓行業(yè)50%的市場(chǎng)份額是由信越化學(xué)和SUMCO這兩家日本企業(yè)所占據(jù)。通過(guò)合并,臺(tái)灣環(huán)球晶圓打破了寡頭壟斷的局面。

封裝材料包括電子特氣、CMP拋光材料、光刻膠等,在中國(guó)似乎都有供應(yīng)商,但還未對(duì)全球巨頭構(gòu)成威脅,其中一個(gè)原因可能是材料比設(shè)備和器材更難進(jìn)行逆向工程, 很難后來(lái)者居上。

在這種情況下,科爾尼認(rèn)為必須抓住當(dāng)前封裝材料領(lǐng)域的兩個(gè)重要趨勢(shì)。

第一大趨勢(shì)是,人們將越來(lái)越看重材料與關(guān)鍵工藝(光刻、刻蝕、鍍膜沉積)之間的契合度,材料設(shè)計(jì)若考慮到了前后工藝影響,將更有價(jià)值。這意味著,通過(guò)收購(gòu)和整合國(guó)內(nèi)外相關(guān)企業(yè),獲取豐富的產(chǎn)品線將變得非常重要。特別是蝕刻和沉積這兩種工藝是同時(shí)進(jìn)行的,如果能同時(shí)提供這兩種材料,提出相應(yīng)的建議,對(duì)提升材料公司的競(jìng)爭(zhēng)力很有幫助。

美國(guó)材料廠商Entegris(英特格)對(duì)Versum的整合意圖就是典型案例。Entegris擅長(zhǎng)生產(chǎn)鍍膜沉積用氣體,為了業(yè)務(wù)互補(bǔ),它曾經(jīng)計(jì)劃收購(gòu)在蝕刻用氣體有優(yōu)勢(shì)的Versum,并一度與其達(dá)成初步的整合協(xié)議,但后來(lái)默克公司開(kāi)出更好的條件“搶走”了Versum,如果Entegris和Versum能夠整合,就會(huì)造就一家具有強(qiáng)大跨工藝能力的材料企業(yè)。

第二大趨勢(shì)是,材料企業(yè)正在擺脫“按照客戶提出的規(guī)格書(shū)開(kāi)發(fā)材料”的主流工作方式,主動(dòng)參與到從生產(chǎn)工藝開(kāi)發(fā)及規(guī)格書(shū)確定的過(guò)程中,根據(jù)需要與設(shè)備商進(jìn)行合作。例如,材料廠家Versum與Lam Research(全球第三大半導(dǎo)體設(shè)備公司)合作,解決了3D閃存研發(fā)中的一個(gè)較大的技術(shù)難題。

中國(guó)的材料廠家也需要與北方華創(chuàng)等設(shè)備廠家多開(kāi)展協(xié)作。由于材料研究人員往往對(duì)設(shè)備缺乏基本了解,因此,最好能在公司內(nèi)部建立相應(yīng)的制度和知識(shí)庫(kù),以掌握最基本的知識(shí),才好與外界進(jìn)行合作。
責(zé)任編輯:tzh

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50026

    瀏覽量

    419801
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26669

    瀏覽量

    212954
  • DRAM
    +關(guān)注

    關(guān)注

    40

    文章

    2292

    瀏覽量

    183143
  • 內(nèi)存
    +關(guān)注

    關(guān)注

    8

    文章

    2952

    瀏覽量

    73745
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    中國(guó)半導(dǎo)體產(chǎn)業(yè):面臨關(guān)鍵時(shí)刻的抉擇

    新一輪人工智能的蓬勃發(fā)展極大地推動(dòng)了AI芯片需求的激增,而先進(jìn)封裝技術(shù)作為“后摩爾時(shí)代”提升芯片性能的核心路徑,正逐步成為半導(dǎo)體行業(yè)的焦點(diǎn)。中國(guó),作為世界半導(dǎo)體
    的頭像 發(fā)表于 10-25 13:51 ?165次閱讀

    臺(tái)企獲12.7億元補(bǔ)貼,助力半導(dǎo)體產(chǎn)業(yè)發(fā)展

    近日,中國(guó)臺(tái)灣省經(jīng)濟(jì)部產(chǎn)業(yè)技術(shù)司公布了芯創(chuàng)“IC設(shè)計(jì)補(bǔ)助計(jì)劃”的核定名單,15家臺(tái)灣企業(yè)成功獲得總計(jì)新臺(tái)幣57億元(約合人民幣12.7億元)的補(bǔ)貼。這一舉措旨在推動(dòng)半導(dǎo)體產(chǎn)業(yè)的創(chuàng)新與
    的頭像 發(fā)表于 10-23 17:16 ?299次閱讀

    【ISES China 2024精彩回顧】半導(dǎo)體精英齊聚,共促產(chǎn)業(yè)創(chuàng)新發(fā)展

    2024國(guó)際汽車半導(dǎo)體創(chuàng)新發(fā)展交流會(huì)(ISESChina2024)日前在無(wú)錫圓滿落幕。本次活動(dòng)匯聚了全球汽車半導(dǎo)體行業(yè)的頂尖企業(yè)與精英領(lǐng)袖,共同探索行業(yè)新趨勢(shì),推動(dòng)技術(shù)創(chuàng)新發(fā)展,為汽車
    的頭像 發(fā)表于 09-26 08:09 ?273次閱讀
    【ISES China 2024精彩回顧】<b class='flag-5'>半導(dǎo)體</b>精英齊聚,共促<b class='flag-5'>產(chǎn)業(yè)</b>創(chuàng)新<b class='flag-5'>發(fā)展</b>

    中國(guó)半導(dǎo)體產(chǎn)業(yè)的十大技術(shù)“瓶頸”解析

    半導(dǎo)體技術(shù)是現(xiàn)代電子科技的核心,它的發(fā)展水平直接體現(xiàn)了一個(gè)國(guó)家的科技實(shí)力。近年來(lái),我國(guó)半導(dǎo)體產(chǎn)業(yè)雖然取得了長(zhǎng)足進(jìn)步,但仍有一些核心技術(shù)尚未完全掌握。本文將詳細(xì)
    的頭像 發(fā)表于 06-06 10:09 ?1517次閱讀
    <b class='flag-5'>中國(guó)</b><b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>產(chǎn)業(yè)</b>的十大技術(shù)“瓶頸”<b class='flag-5'>解析</b>

    喜訊 | MDD辰達(dá)半導(dǎo)體榮獲藍(lán)點(diǎn)獎(jiǎng)“最具投資價(jià)值獎(jiǎng)”

    企業(yè)在“新技術(shù)、新產(chǎn)業(yè)、新業(yè)態(tài)、新模式”方面的創(chuàng)新,表彰他們對(duì)電子信息產(chǎn)業(yè)創(chuàng)新發(fā)展所做出的貢獻(xiàn),展現(xiàn)其優(yōu)秀企業(yè)風(fēng)采,樹(shù)立新時(shí)代行業(yè)標(biāo)桿。 此次,獲得“最具投資價(jià)值獎(jiǎng)”是對(duì)MDD辰達(dá)半導(dǎo)體
    發(fā)表于 05-30 10:41

    2024年全球半導(dǎo)體產(chǎn)業(yè)發(fā)展態(tài)勢(shì)解析

    本文由半導(dǎo)體產(chǎn)業(yè)縱橫(ID:ICVIEWS)編譯自semiconductorintelligence2024年開(kāi)局緩慢,但已為增長(zhǎng)做好準(zhǔn)備。根據(jù)WSTS的數(shù)據(jù),2024年第一季度全球半導(dǎo)體市場(chǎng)規(guī)模為
    的頭像 發(fā)表于 05-30 08:27 ?5448次閱讀
    2024年全球<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>產(chǎn)業(yè)</b><b class='flag-5'>發(fā)展</b>態(tài)勢(shì)<b class='flag-5'>解析</b>

    中國(guó)SiC功率半導(dǎo)體產(chǎn)業(yè)蓬勃發(fā)展

    根據(jù)TrendForce集邦咨詢的數(shù)據(jù)報(bào)告顯示,中國(guó)在SiC功率半導(dǎo)體產(chǎn)業(yè)中占據(jù)領(lǐng)先地位,特別是在功率元件業(yè)務(wù)中達(dá)到了42.4%的高占比。這一領(lǐng)域涵蓋了Fabless、IDM以及Foundry等多個(gè)
    的頭像 發(fā)表于 05-08 10:49 ?416次閱讀
    <b class='flag-5'>中國(guó)</b>SiC功率<b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>產(chǎn)業(yè)</b>蓬勃<b class='flag-5'>發(fā)展</b>

    東海投資設(shè)立半導(dǎo)體射頻產(chǎn)業(yè)基金助力常州半導(dǎo)體產(chǎn)業(yè)升級(jí)

    東海投資憑借其在半導(dǎo)體投資方面的專長(zhǎng),聚焦半導(dǎo)體產(chǎn)業(yè)射頻領(lǐng)域,把握新興半導(dǎo)體與各制造環(huán)節(jié)的契合點(diǎn),以國(guó)產(chǎn)替代和產(chǎn)品創(chuàng)新為切入點(diǎn),將資金投向有快速發(fā)展
    的頭像 發(fā)表于 04-23 09:48 ?392次閱讀

    半導(dǎo)體發(fā)展的四個(gè)時(shí)代

    臺(tái)積電的 Suk Lee 發(fā)表了題為“摩爾定律和半導(dǎo)體行業(yè)的第四個(gè)時(shí)代”的主題演講。Suk Lee表示,任何試圖從半導(dǎo)體行業(yè)傳奇而動(dòng)蕩的歷史中發(fā)掘出一些意義的事情都會(huì)引起我的注意。正如臺(tái)積電所解釋
    發(fā)表于 03-27 16:17

    半導(dǎo)體發(fā)展的四個(gè)時(shí)代

    臺(tái)積電的 Suk Lee 發(fā)表了題為“摩爾定律和半導(dǎo)體行業(yè)的第四個(gè)時(shí)代”的主題演講。Suk Lee表示,任何試圖從半導(dǎo)體行業(yè)傳奇而動(dòng)蕩的歷史中發(fā)掘出一些意義的事情都會(huì)引起我的注意。正如臺(tái)積電所解釋
    發(fā)表于 03-13 16:52

    解析半導(dǎo)體放電管TSS的原理與應(yīng)用?

    解析半導(dǎo)體放電管TSS的原理與應(yīng)用?|深圳比創(chuàng)達(dá)電子
    的頭像 發(fā)表于 01-25 10:09 ?603次閱讀
    <b class='flag-5'>解析</b><b class='flag-5'>半導(dǎo)體</b>放電管TSS的原理與應(yīng)用?

    中國(guó)功率半導(dǎo)體行業(yè)異軍突起

    近期,無(wú)論是美國(guó)等西方國(guó)家的技術(shù)輸出限制,還是其它外來(lái)壓力,中國(guó)半導(dǎo)體產(chǎn)業(yè)均在政府的扶持下積極應(yīng)對(duì),逐步在非尖端技術(shù)半導(dǎo)體業(yè)坐穩(wěn)江山。據(jù)日本媒體專家分析,在車載功率
    的頭像 發(fā)表于 11-28 11:15 ?612次閱讀
    <b class='flag-5'>中國(guó)</b>功率<b class='flag-5'>半導(dǎo)體</b>行業(yè)異軍突起

    中國(guó)半導(dǎo)體封測(cè)產(chǎn)業(yè)回顧與展望!

    據(jù)中國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)統(tǒng)計(jì),2022年中國(guó)集成電路產(chǎn)業(yè)銷售額12006.1億元。其中,設(shè)計(jì)業(yè)銷售額為5156.2億元;制造業(yè)銷售額為3854.8億元;封測(cè)業(yè)銷售額2995.1億元,其中設(shè)
    的頭像 發(fā)表于 11-20 16:33 ?1519次閱讀
    <b class='flag-5'>中國(guó)</b><b class='flag-5'>半導(dǎo)體</b>封測(cè)<b class='flag-5'>產(chǎn)業(yè)</b>回顧與展望!

    越南正在大力發(fā)展半導(dǎo)體產(chǎn)業(yè)

    當(dāng)前,越南的半導(dǎo)體產(chǎn)業(yè)規(guī)模相對(duì)較小,與臺(tái)日韓以及中國(guó)業(yè)者相比,依然較為有限。越南北部的半導(dǎo)體企業(yè)以封測(cè)和組裝制造為主,產(chǎn)品主要應(yīng)用于存儲(chǔ)器。而越南南部的
    的頭像 發(fā)表于 11-16 15:52 ?526次閱讀
    越南正在大力<b class='flag-5'>發(fā)展</b><b class='flag-5'>半導(dǎo)體</b><b class='flag-5'>產(chǎn)業(yè)</b>

    高端電子半導(dǎo)體封裝膠水介紹

    前不久華為mate60手機(jī)的技術(shù)突破,極大振奮了中國(guó)半導(dǎo)體產(chǎn)業(yè)發(fā)展的信心,中國(guó)半導(dǎo)體
    的頭像 發(fā)表于 10-27 08:10 ?2988次閱讀
    高端電子<b class='flag-5'>半導(dǎo)體</b>封裝膠水介紹