0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

以工程的形式來介紹ModelSim的仿真使用

FPGA技術(shù)江湖 ? 來源:FPGA技術(shù)江湖 ? 作者:FPGA技術(shù)江湖 ? 2022-03-11 09:51 ? 次閱讀

本篇將以工程的形式來介紹ModelSim仿真使用,工程一般由:根目錄+源碼+work庫+資源庫+仿真設(shè)置+元數(shù)據(jù)組成,其中元數(shù)據(jù)就是工程的一些設(shè)置數(shù)據(jù),會(huì)以一個(gè) .mpf 后綴的文件存在于指定路徑,在這之中會(huì)包換:編譯的設(shè)置,編譯順序還有文件的映射之類的。 開始今天的使用吧~

創(chuàng)建工程

將本篇使用到的文件放置到自己的工程路徑下,然后選擇菜單欄 File > New > Project

960eea6a-907d-11ec-952b-dac502259ad0.png

在彈出的界面中填寫工程的名字、工程的路徑、以及工程默認(rèn)庫的名字,使用默認(rèn)的work就好了、還有就是初始化配置文件的路徑,配置文件選安裝路徑下的modelsim.ini即可,然后選擇Copy Library Mappings,這樣就可以把這個(gè)文件直接加到這個(gè)工程中了,使用Reference也可以;

96225b86-907d-11ec-952b-dac502259ad0.png

都填好后,直接OK,這時(shí)就改進(jìn)入工程的設(shè)置了,由于是一個(gè)空的工程,所以系統(tǒng)會(huì)彈出添加?xùn)|西到工程的窗口,在這窗口,可以創(chuàng)建新的文件、添加已有的文件、創(chuàng)建仿真的配置以及創(chuàng)建文件夾,因?yàn)橐呀?jīng)準(zhǔn)備好了文件,所以就直接點(diǎn) Add Existing File;

963a3706-907d-11ec-952b-dac502259ad0.png

點(diǎn)完后,又出現(xiàn)了一個(gè)新的窗口,提示要從哪添加文件,點(diǎn)Browse,然后將counter.v和tcounter.v加進(jìn)來,最下方的選項(xiàng),因?yàn)楣こ桃呀?jīng)和這兩個(gè)文件在一個(gè)目錄下了,所以選擇Reference即可,如果是其他路徑下的,就可以考慮Copy過來進(jìn)行管理;

964e65b4-907d-11ec-952b-dac502259ad0.png

接著OK,然后Close掉添加?xùn)|西到工程的界面,這時(shí)候注意Project的欄中,多了剛添加的兩個(gè)文件,且Status顯示的是一個(gè)藍(lán)色問號(hào),這代表該文件還未編譯;Order就是兩者的一個(gè)編譯順序以及文件改動(dòng)的時(shí)間;

9667ea3e-907d-11ec-952b-dac502259ad0.png

文件編譯

95a79ed2-907d-11ec-952b-dac502259ad0.png95b7df40-907d-11ec-952b-dac502259ad0.png

如果想改變編譯順序,右鍵 > Compile > Compile Order

96b9845c-907d-11ec-952b-dac502259ad0.png

使用這兩個(gè)箭頭來改變文件的編譯順序,點(diǎn)Auto Generate 就會(huì)以Top層開始進(jìn)行編譯,如果順序不正確,它也會(huì)自動(dòng)循環(huán)跑,然后找到這個(gè)Top層往下一層層的編,可以的話,就自己用箭頭先把順序按正確的來設(shè)置好。

96cffb06-907d-11ec-952b-dac502259ad0.png

除了用上面的Auto來編譯外,還可以直接單個(gè)編譯,或者選全部編譯,圖中兩個(gè)箭頭所指。

96e1a5d6-907d-11ec-952b-dac502259ad0.png

編譯成功后,狀態(tài)會(huì)變?yōu)榫G色的勾,如果代碼出問題了,就是個(gè)紅色的X,這時(shí)候就有根據(jù)Transcript 的信息去改代碼了,跳到Library的窗口,從原本empty的狀態(tài)變?yōu)榱艘粋€(gè)帶 + 號(hào)的狀態(tài)。

96f9fcf8-907d-11ec-952b-dac502259ad0.png

點(diǎn)開 + 號(hào),可以看到兩個(gè)編譯的結(jié)果,名字、類型和路徑都在信息里,選中test_counter,右鍵 > Simulate,進(jìn)入仿真界面。

970f4626-907d-11ec-952b-dac502259ad0.png

在Sim窗口含仿真文件的層次結(jié)構(gòu),接著的基本仿真使用就和上一篇的一樣了。

9727c250-907d-11ec-952b-dac502259ad0.png

在Transcript窗口輸入:quit -sim,退出仿真,準(zhǔn)備接下來的。

文件管理

95a79ed2-907d-11ec-952b-dac502259ad0.png95b7df40-907d-11ec-952b-dac502259ad0.png

因?yàn)槭桥e例,所以使用到的文件比較少,但是平常做項(xiàng)目的適合,文件就多了,這時(shí)候如果沒有管理好文件的類別,那就看著有點(diǎn)頭疼了,接下來用文件夾的形式來管理文件; 在剛開始創(chuàng)工程的時(shí)候,就有個(gè)Create New Folder的選項(xiàng),可以在這時(shí)候就創(chuàng)建好文件夾,在工程創(chuàng)建好后還需要新建文件夾來管理,就接著往下操作; 回到Project的窗口,右鍵 > Add to Project > Folder ,在彈出的窗口輸入文件夾的名字,按正常的文件夾格式來對(duì)文件夾取名就好了,然后OK。

9760f2a0-907d-11ec-952b-dac502259ad0.png

在Project窗口中也多了一個(gè)文件夾的圖標(biāo)。

976afb4c-907d-11ec-952b-dac502259ad0.png

只有一個(gè)文件夾的話,顯然,如果是一堆文件,那就還需要做細(xì)分,這邊就按激勵(lì)文件和模塊文件來分類,繼續(xù) 右鍵 > Add to Project > Folder ,填寫子文件夾的名字,且將該文件夾放置在Design Files的文件夾下,然后OK。

97808372-907d-11ec-952b-dac502259ad0.png

重復(fù)上述的操作,再加入一個(gè)Source的子文件夾,然后效果如下。

9797b164-907d-11ec-952b-dac502259ad0.png

然后就該把文件添加到對(duì)應(yīng)的文件夾下進(jìn)行管理了,選中 counter.v(如果是多個(gè)文件,就選中多個(gè)) 然后右鍵 > Properties。

97ad9394-907d-11ec-952b-dac502259ad0.png

在屬性窗口,可以從 Place In Folder 看到文件放在Top層,修改它,將其放置在Source層,然后OK。

97bf39c8-907d-11ec-952b-dac502259ad0.png

重復(fù)上述操作,將tcounter.v放入testbench文件夾下,這時(shí)的效果如下。

97d7afe4-907d-11ec-952b-dac502259ad0.png

會(huì)發(fā)現(xiàn)狀態(tài)又變成了問號(hào),這是因?yàn)楦淖兞宋募奈恢?,工程已?jīng)不知道編譯結(jié)果是否依然有效,所以需要重新編譯才能繼續(xù)使用。

仿真配置

95a79ed2-907d-11ec-952b-dac502259ad0.png95b7df40-907d-11ec-952b-dac502259ad0.png

仿真配置就是將模塊和仿真的選項(xiàng)存在了一個(gè)文件中,然后直接使用該文件就可以自動(dòng)按設(shè)置好的啟動(dòng)仿真,舉個(gè)例子:假設(shè)你的某個(gè)模塊需要對(duì)仿真的時(shí)間精度然后還需要做一些自檢啥的,這時(shí)候就可以直接用配置文件來操作,以省去不必要的步驟。 在Project窗口 右鍵>Add to Project > Simulation Configuration ,在出現(xiàn)的界面中,填入配置文件的名字,以及放置的文件夾,這邊選則放在testbench文件夾下;

981c0298-907d-11ec-952b-dac502259ad0.png

接著選中work庫下的 test_counter,仿真精度(Resolution)改為ps。

983378c4-907d-11ec-952b-dac502259ad0.png

選中Verilog的窗口,將Enable hazard checking (-hazards) 的選項(xiàng)打勾,然后點(diǎn)Save進(jìn)行保存。

987aa01e-907d-11ec-952b-dac502259ad0.png

在文件夾下就有了該仿真配置,直接雙擊它。

98902344-907d-11ec-952b-dac502259ad0.png

然后在Transcript 窗口可以看到仿真的命令和之前的有了一些不同,是按照剛剛的設(shè)置啟動(dòng)了仿真。

98a34776-907d-11ec-952b-dac502259ad0.png

結(jié)束

95a79ed2-907d-11ec-952b-dac502259ad0.png95b7df40-907d-11ec-952b-dac502259ad0.png

本篇關(guān)于仿真工程的使用就介紹到這了,如果工程未關(guān)閉,下次啟動(dòng)modelsim時(shí),則會(huì)自動(dòng)打開該工程,要關(guān)閉的話,F(xiàn)ile > Close Project ,再點(diǎn)“是”就好了。

98e4ed66-907d-11ec-952b-dac502259ad0.png

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    4003

    瀏覽量

    133238
  • 源碼
    +關(guān)注

    關(guān)注

    8

    文章

    630

    瀏覽量

    29074
  • ModelSim
    +關(guān)注

    關(guān)注

    5

    文章

    174

    瀏覽量

    47065

原文標(biāo)題:Modelsim的仿真之路(仿真工程的使用)

文章出處:【微信號(hào):HXSLH1010101010,微信公眾號(hào):FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    使用modelsim時(shí)的問題分析

    仿真對(duì)于FPGA設(shè)計(jì)來說至關(guān)重要,我們經(jīng)常使用modelsim進(jìn)行功能仿真或者時(shí)序仿真,這樣就需要將m
    的頭像 發(fā)表于 10-24 18:15 ?63次閱讀
    使用<b class='flag-5'>modelsim</b>時(shí)的問題分析

    modelsim獨(dú)立仿真vivado平臺(tái)工程

    如果只是純的.v文件仿真那很容易操作,主要是涉及到IP核,那么就必須要對(duì)vivado的IP核的庫文件進(jìn)行編譯和添加了,難點(diǎn)也在于此.
    的頭像 發(fā)表于 10-24 15:31 ?55次閱讀
    <b class='flag-5'>modelsim</b>獨(dú)立<b class='flag-5'>仿真</b>vivado平臺(tái)<b class='flag-5'>工程</b>

    機(jī)器人仿真的類型和優(yōu)勢(shì)

    機(jī)器人仿真使機(jī)器人工程師和研究人員能夠創(chuàng)建機(jī)器人及其環(huán)境的虛擬模型。這項(xiàng)技術(shù)支持在仿真的無風(fēng)險(xiǎn)環(huán)境中測(cè)試和驗(yàn)證機(jī)器人設(shè)計(jì)與控制算法以及與各種元素進(jìn)行交互。通過使用仿真軟件,可以預(yù)測(cè)和分
    的頭像 發(fā)表于 10-14 10:43 ?251次閱讀
    機(jī)器人<b class='flag-5'>仿真</b>的類型和優(yōu)勢(shì)

    如何在ModelSim中添加Xilinx仿真

    今天給大俠帶來在FPGA設(shè)計(jì)應(yīng)用中如何在ModelSim中添加Xilinx仿真庫,話不多說,上貨。 注意:ModelSim一定要安裝在不帶空格的目錄下,即不要安裝在“Program
    發(fā)表于 07-03 18:16

    ISE 關(guān)聯(lián) Modelsim 詳細(xì)操作

    在兩者之間即可,然后保存。 第三步,打開ISE,在菜單Edit-Preferences…,調(diào)出設(shè)置窗口。 設(shè)置好之后就到了最后一步,在新建工程時(shí),選擇對(duì)應(yīng)的modelsim即可,在看仿真時(shí)正常打開就行了。
    發(fā)表于 03-22 18:55

    最實(shí)用的Modelsim使用教程

    Quartus II建立工程時(shí),設(shè)置 modelsim 作為仿真軟件,或者是在Assignments——>EDA Tool Settings進(jìn)行設(shè)置。 三、 Modelsim
    發(fā)表于 03-19 16:40

    fpga仿真文件怎么寫

    首先,你需要選擇一個(gè)FPGA仿真軟件,如ModelSim、Vivado、Quartus II等。這些軟件都提供了強(qiáng)大的仿真功能,可以幫助你驗(yàn)證FPGA設(shè)計(jì)的正確性。
    的頭像 發(fā)表于 03-15 14:00 ?661次閱讀

    最實(shí)用的Modelsim使用及仿真的基本步驟

    仿真也稱為時(shí)序仿真或者布局布線后仿真,是指電路已經(jīng)映射到特定的工藝環(huán)境以后,綜合考慮電路的路徑延遲與門延遲的影響,驗(yàn)證電路能否在一定時(shí)序條件下滿足設(shè)計(jì)構(gòu)想的過程,是否存在時(shí)序違規(guī)。
    的頭像 發(fā)表于 03-06 09:58 ?8359次閱讀
    最實(shí)用的<b class='flag-5'>Modelsim</b>使用及<b class='flag-5'>仿真</b>的基本步驟

    【基于Lattice MXO2的小腳丫FPGA核心板】02ModelSim仿真

    ModelSim軟件用于FPGA的模塊仿真 軟件安裝 ModelSim仿真軟件隨著Diamond一同安裝,軟件的安裝和證書申請(qǐng)流程很簡(jiǎn)單可以參考電子森林Lattice Diamond教
    發(fā)表于 02-29 08:25

    Modelsim報(bào)錯(cuò), -novopt 開關(guān)打開,仿真失敗

    在使用紫光同創(chuàng)PDS和Modelsim聯(lián)合仿真時(shí),modelsim報(bào)錯(cuò)不會(huì)解決,如下圖
    發(fā)表于 02-18 10:26

    如何使用 ModelSim 進(jìn)行設(shè)計(jì)仿真

    ModelSim為HDL仿真工具,我們可以利用該軟件實(shí)現(xiàn)對(duì)所設(shè)計(jì)的VHDL或Verilog程 序進(jìn)行仿真,支持IEEE常見的各種硬件描述語言標(biāo)準(zhǔn)??梢赃M(jìn)行兩種語言的混合
    發(fā)表于 01-14 09:47 ?0次下載

    modelsim安裝運(yùn)行patch閃退

    模擬器的安裝和運(yùn)行是計(jì)算機(jī)科學(xué)中非常重要的一環(huán)。ModelSim是一種流行的數(shù)字電子設(shè)計(jì)自動(dòng)化工具,用于驗(yàn)證和仿真硬件設(shè)計(jì)。然而,有時(shí)安裝和運(yùn)行Patch可能會(huì)導(dǎo)致閃退問題。本文將詳細(xì)解釋如何安裝
    的頭像 發(fā)表于 01-04 10:43 ?1327次閱讀

    怎樣單獨(dú)使用modelsim仿真xilinx呢?

    直接在modelsim軟件內(nèi)執(zhí)行.do文件進(jìn)行仿真,不通過vivado調(diào)用modelsim,vivado僅用于生成IP核。
    的頭像 發(fā)表于 12-04 18:26 ?1211次閱讀
    怎樣單獨(dú)使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    用multisim仿真使用OP295反饋電路時(shí),如何使用波特儀測(cè)量增益和相位特性曲線?

    用multisim仿真使用OP295反饋電路時(shí),如何使用波特儀測(cè)量增益和相位特性曲線?為什么我用波特儀畫出的增益特性曲線一直是負(fù)的幾十dB與實(shí)際情況完去不附啊!求助大神!像上面圖片所示
    發(fā)表于 11-17 08:12

    怎么通過SPICE仿真預(yù)測(cè)VDS開關(guān)尖峰?

    怎么通過SPICE仿真預(yù)測(cè)VDS開關(guān)尖峰? SPICE仿真技術(shù)是電子工程師在設(shè)計(jì)和驗(yàn)證電路時(shí)的必備工具。VDS開關(guān)尖峰是指在開關(guān)型功率器件的開關(guān)過程中,由于電感/電容元件存在的慣性導(dǎo)
    的頭像 發(fā)表于 10-29 17:33 ?610次閱讀