0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

modelsim獨(dú)立仿真vivado平臺工程

FPGA十年老鳥 ? 來源:FPGA十年老鳥 ? 2024-10-24 15:31 ? 次閱讀

前言

如果只是純的.v文件仿真那很容易操作,主要是涉及到IP核,那么就必須要對vivado的IP核的庫文件進(jìn)行編譯和添加了,難點(diǎn)也在于此

modelsim vivado 支持版本

關(guān)于版本對應(yīng),目前使用的vivado2018.2對應(yīng)的modelsim版本至少應(yīng)該為10.6.c

786ecff8-9092-11ef-a511-92fbcf53809c.png

主要查ug973 文檔

不過我目前使用的modeltech64_10.6d 版本,從vivado2018.2到vivado2021.2都用的好好的,所以就一直用吧,直到不能用為止了

modelsim 編譯庫及連接庫

在vivado 工具欄------tools------compile simulation libraires 選項框中。
因為我們用的器件是Zynq-7000,因此可以如下設(shè)置。

78973e8e-9092-11ef-a511-92fbcf53809c.png

在一個新目錄中,紅色方框可以不用勾選,而且現(xiàn)在一般都是64位的軟件和電腦

點(diǎn)擊編譯后,庫文件會保存在Compiled library location中。

將modelsim文件拷貝出來,放到 modelsim安裝文件夾中 (C:modeltech64_10.6dmodelsim)

modelsim文件夾中有一個modelsim.ini配置文件,打開后將

secureip = E:/NEW_BROAD/modelsim/top_V2.1.3_modelsim/top.cache/compile_simlib/modelsim/secureip
unisim = E:/NEW_BROAD/modelsim/top_V2.1.3_modelsim/top.cache/compile_simlib/modelsim/unisim
unimacro = E:/NEW_BROAD/modelsim/top_V2.1.3_modelsim/top.cache/compile_simlib/modelsim/unimacro
unifast = E:/NEW_BROAD/modelsim/top_V2.1.3_modelsim/top.cache/compile_simlib/modelsim/unifast
。。。。。。。。。。
xfft_v9_1_0 = E:/NEW_BROAD/modelsim/top_V2.1.3_modelsim/top.cache/compile_simlib/modelsim/xfft_v9_1_0
xsdbs_v1_0_2 = E:/NEW_BROAD/modelsim/top_V2.1.3_modelsim/top.cache/compile_simlib/modelsim/xsdbs_v1_0_2
zynq_ultra_ps_e_vip_v1_0_3 = E:/NEW_BROAD/modelsim/top_V2.1.3_modelsim/top.cache/compile_simlib/modelsim/zynq_ultra_ps_e_vip_v1_0_3

這一大段復(fù)制出來。
打開C:modeltech64_10.6d中的modelsim.ini(注意這個文件的屬性是只讀,修改前需改成可讀寫)。然后將上面復(fù)制的一段拷貝到:

secureip = $MODEL_TECH/../modelsim/secureip
unisim = $MODEL_TECH/../modelsim/unisim
unimacro = $MODEL_TECH/../modelsim/unimacro
unifast = $MODEL_TECH/../modelsim/unifast
。。。。。。
xfft_v9_1_0 = $MODEL_TECH/../modelsim/xfft_v9_1_0
xsdbs_v1_0_2 = $MODEL_TECH/../modelsim/xsdbs_v1_0_2
zynq_ultra_ps_e_vip_v1_0_3 = $MODEL_TECH/../modelsim/zynq_ultra_ps_e_vip_v1_0_3

注意,上面的路徑要修改成 $MODEL_TECH/…/

modelsim仿真腳本

.tcl腳本

quit -sim
set RTL_PATH E:/NEW2_BROAD/top.srcs
cd $RTL_PATH


vlib work
vmap work work




vlog -work work  $RTL_PATH/sources_1/top/*.v




vlog -work work  $RTL_PATH/sources_1/ip/adc_clk/adc_clk_sim_netlist.v






vlog -work work  C:/Xilinx/Vivado/2016.4/data/verilog/src/glbl.v


vlog -work work  $RTL_PATH/test_top_modelsim/*.v




vsim -L secureip -L simprims_ver -L unifast -L unifast_ver -L unimacro -L unimacro_ver -L unisim -L unisims_ver -voptargs="+acc"  test glbl


add wave sim:/test/*






log -r *
run 1209us


do wave.do

以上有一個.v文件的編譯語句,有一個IP核的編譯語句,xilinx的IP核編譯庫還是很好用的

某些個別IP找不到庫文件怎么辦

有些復(fù)雜一點(diǎn)的IP沒有*_sim_netlist.v文件怎么辦呢。我們可以首先在vivado上直接關(guān)聯(lián)modelsim,在vivado打開modelsim進(jìn)行仿真。打開的時候modelsim的tcl窗口會記錄一切操作,把這些操作用到自己的tcl腳本上就可以了?;蛘吒鼡Q更高級的Vivado軟件,一般隨著軟件升級此類問題都有可能得到解決。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21568

    瀏覽量

    600622
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    4003

    瀏覽量

    133238
  • ModelSim
    +關(guān)注

    關(guān)注

    5

    文章

    174

    瀏覽量

    47065
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    803

    瀏覽量

    66141

原文標(biāo)題:FPGA開發(fā)技巧備忘錄——modelsim獨(dú)立仿真vivado平臺工程

文章出處:【微信號:FPGA十年老鳥,微信公眾號:FPGA十年老鳥】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    如何用Python實(shí)現(xiàn)VivadoModelSim仿真自動化?

    我們在Windows系統(tǒng)下使用Vivado的默認(rèn)設(shè)置調(diào)用第三方仿真器比如ModelSim進(jìn)行仿真時,一開始仿真軟件都會默認(rèn)在波形界面中加載t
    的頭像 發(fā)表于 09-13 09:23 ?1143次閱讀
    如何用Python實(shí)現(xiàn)<b class='flag-5'>Vivado</b>和<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>自動化?

    怎樣單獨(dú)使用modelsim仿真xilinx呢?

    直接在modelsim軟件內(nèi)執(zhí)行.do文件進(jìn)行仿真,不通過vivado調(diào)用modelsimvivado僅用于生成IP核。
    的頭像 發(fā)表于 12-04 18:26 ?1211次閱讀
    怎樣單獨(dú)使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    關(guān)于Vivadomodelsim仿真常見問題處理

    :/modeltech64_10.4”下的modelsim.ini文件夾的屬性,具體的操作參照ISE和modelsim的聯(lián)合仿真庫編譯步驟。2、 vivado里面每次修改完程序之后無需
    發(fā)表于 10-16 19:43

    使用vivado仿真仿真時,modelsim的transcript界面無法輸出C程序的printf語句是為什么?

    我現(xiàn)在將vivadomodelsim做了聯(lián)合仿真,用來仿真蜂鳥e203協(xié)處理器擴(kuò)展實(shí)現(xiàn)的功能。現(xiàn)在的問題是:使用vivado
    發(fā)表于 08-11 06:44

    vivado仿真器改成modelsim,仿真modelsim的transcript沒法打印出e203實(shí)時運(yùn)行的信息怎么解決?

    原來仿真使用的是vivado simulator,最近將vivado仿真器改成modelsim,發(fā)現(xiàn)仿
    發(fā)表于 08-11 09:47

    基于vivado平臺modelsim仿真和應(yīng)用測試

    很多人用zynq平臺做視頻圖像開發(fā),但是對vdma了解比較少,上手起來稍微有些困難,我針對這一現(xiàn)象,做了一個基于vivadomodelsim仿真和應(yīng)用測試
    發(fā)表于 06-30 14:33 ?5630次閱讀
    基于<b class='flag-5'>vivado</b><b class='flag-5'>平臺</b>和<b class='flag-5'>modelsim</b>的<b class='flag-5'>仿真</b>和應(yīng)用測試

    使用Vivado 2017調(diào)用Modelsim的詳細(xì)步驟

    10.5,發(fā)現(xiàn)Modelsim10.5的版本也是可以使用的。筆者嘗試過Modelsim10.4,發(fā)現(xiàn)該版本不支持。所以需要使用Vivado2017.3來調(diào)用Modelsim
    發(fā)表于 03-30 09:51 ?1.7w次閱讀
    使用<b class='flag-5'>Vivado</b> 2017調(diào)用<b class='flag-5'>Modelsim</b>的詳細(xì)步驟

    詳解VivadoModelsim關(guān)聯(lián)方法及器件庫編譯

    vivado中設(shè)置modelsim(即第三方仿真工具)的安裝路徑。在vivado菜單中選擇“Tools”——》“Options.。。”,選擇“General”選項卡,將滾動條拉倒最底
    的頭像 發(fā)表于 04-15 10:10 ?5236次閱讀
    詳解<b class='flag-5'>Vivado</b>與<b class='flag-5'>Modelsim</b>關(guān)聯(lián)方法及器件庫編譯

    淺析modelsim 自動化 高效獨(dú)立仿真vivado IP核的工程

    1.modelsim編譯vivado庫 1)雙擊啟動vivado軟件,如下圖操作。 2)Simulator:選對應(yīng)的, Language:都選all, Verilog與vhdl都用可能
    的頭像 發(fā)表于 06-01 11:33 ?2764次閱讀
    淺析<b class='flag-5'>modelsim</b> 自動化 高效<b class='flag-5'>獨(dú)立</b><b class='flag-5'>仿真</b>含<b class='flag-5'>vivado</b> IP核的<b class='flag-5'>工程</b>

    基于ModelSim使用四ModelSim手動仿真教程

    4.1 新建仿真工程 在開始動手仿真之前,首先,我們需要創(chuàng)建一個文件夾用來放置我們的 ModelSim 仿真
    的頭像 發(fā)表于 07-23 11:10 ?3936次閱讀

    Vivado調(diào)用Questa Sim或ModelSim仿真小技巧

    Vivado調(diào)用Questa Sim或ModelSim仿真中存在的一些自動化問題的解決方案。 Vivado調(diào)用Questa Sim仿真中存在
    的頭像 發(fā)表于 09-02 10:12 ?8260次閱讀
    <b class='flag-5'>Vivado</b>調(diào)用Questa Sim或<b class='flag-5'>ModelSim</b><b class='flag-5'>仿真</b>小技巧

    VivadoModelSim的聯(lián)合仿真操作

    Vivado自帶的仿真,個人覺得跑一些小模塊的仿真還是可以的,不過跑大的仿真系統(tǒng),容易無體驗感,建議用第三方工具,這邊就直接對ModelSim
    的頭像 發(fā)表于 03-11 11:32 ?7552次閱讀

    介紹用ModelSim獨(dú)立仿真Vivado IP核的仿真方案

    整體步驟基本一樣,只是do文件分成了兩個文件。使用工具Vivado2017.2 && Modelsim 10.5。
    的頭像 發(fā)表于 02-06 14:22 ?3856次閱讀

    Vivado調(diào)用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以調(diào)用Modelsim進(jìn)行仿真,下面將介紹如何對
    的頭像 發(fā)表于 07-24 09:04 ?3370次閱讀
    <b class='flag-5'>Vivado</b>調(diào)用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    vivado軟件和modelsim軟件的安裝方法

    本文詳細(xì)介紹了vivado軟件和modelsim軟件的安裝,以及vivado中配置modelsim仿真設(shè)置,每一步都加文字說明和圖片。
    的頭像 發(fā)表于 08-07 15:48 ?4411次閱讀
    <b class='flag-5'>vivado</b>軟件和<b class='flag-5'>modelsim</b>軟件的安裝方法