0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

SpinalHDL里pipeline的設(shè)計思路

Spinal FPGA ? 來源:Spinal FPGA ? 2023-08-12 11:22 ? 次閱讀

如果你曾看過VexRSICV的設(shè)計,對于從事邏輯設(shè)計的你會驚訝從未想過邏輯設(shè)計還能這么來做。針對VexRSICV所衍生出的pipeline Lib,該系列會對pipeline進(jìn)行一次梳理。誠如之前一篇博客曾講,這是“勇者的游戲”。

雖然并未從事CPU設(shè)計,但這里pipeline的庫讀完還是當(dāng)浮一大白~

》傳統(tǒng)的設(shè)計思路

借用博客《The VexRiscV CPU - A New Way to Design》中的觀點,在CPU設(shè)計里,一條典型的五級流水線:

ab88a7ac-38b3-11ee-9e74-dac502259ad0.svg ???

在傳統(tǒng)的流水線設(shè)計里,一條指令切分到不同的Stage中,每增加一條指令,我們可能就需要對各個Stage進(jìn)行修改,牽一發(fā)而動全身。如果對于流水線每個階段都了然于胸,那么自不是問題,否則那就是噩夢級別的難度了~。

那么,是否有新的方式來做一次流水線設(shè)計的創(chuàng)新呢?

來看看SpinalHDL里pipeline的設(shè)計思路。

》高端操作

本篇為開篇系列,先從整體架構(gòu)上看SpinalHDL中pipeline的設(shè)計整體框架,暫不牽涉具體的設(shè)計細(xì)節(jié)。

我們先來看一個非常簡單的“pipeline”:

abb384d6-38b3-11ee-9e74-dac502259ad0.jpg

從A到B經(jīng)歷三級流水線,每經(jīng)一級加一輸出至下一級。

回到電路設(shè)計的本質(zhì)。在FPGA里面,除了RAM、DSP這些資源,邏輯實現(xiàn)的核心只有LUT喝Reg。LUT負(fù)責(zé)實現(xiàn)邏輯實現(xiàn),Reg負(fù)責(zé)狀態(tài)保存。在上面的三級流水里,我們要思索的無非兩個問題:

每一級流水線都做了什么

流水線各級是如何進(jìn)行連接的

那么在看看上面的電路。每級流水線里面都是一個加法器和一個寄存器輸出。加法器這些功能性的實現(xiàn)才是每一級Stage的功能。而寄存器則用于連接本級Stage與下一級Stage。也就意味著,每一級流水線都是邏輯電路負(fù)責(zé)實現(xiàn)功能,時序電路寄存器用于Stage連接。為此,對于pipeline我們可以抽象為下面的要素:

abd2254e-38b3-11ee-9e74-dac502259ad0.jpg

Stage:僅考慮具體的功能實現(xiàn),它包含了輸入、輸出以及具體的邏輯實現(xiàn)。

Connection:僅用于實現(xiàn)各級Stage的連接。

在邏輯電路設(shè)計里,不要總是想著把功能實現(xiàn)和時序設(shè)計給拼到一塊兒。將上面的概念與最上面的三級流水對照,那么加法器就是對應(yīng)的Stage的功能,一個組合電路。而寄存器就對應(yīng)Connection的功能。

SpinalHDL是基于Scala而設(shè)計,其能夠幫助我們自動實現(xiàn)很多功能。比如兩級Stage之間都有哪些信號是要通過Connection進(jìn)行連接,對應(yīng)的流水線功能如flush、halt能功能如何在各級Stage之間進(jìn)行傳播等功能。我們所需要做的,就是通過一定的規(guī)則告訴pipeline如何去做這些事情。

接下來,先針對pipeline所涉及的Pipeline、Stage、Connection、Stageable、StageableKey進(jìn)行一個初步整體了解。





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • RAM
    RAM
    +關(guān)注

    關(guān)注

    8

    文章

    1352

    瀏覽量

    114392
  • 加法器
    +關(guān)注

    關(guān)注

    6

    文章

    183

    瀏覽量

    30046
  • Pipeline
    +關(guān)注

    關(guān)注

    0

    文章

    28

    瀏覽量

    9332
  • CPU設(shè)計
    +關(guān)注

    關(guān)注

    0

    文章

    8

    瀏覽量

    10507
  • FPGA開發(fā)板
    +關(guān)注

    關(guān)注

    10

    文章

    120

    瀏覽量

    31459

原文標(biāo)題:pipeline高端玩法(一)——系統(tǒng)架構(gòu)

文章出處:【微信號:Spinal FPGA,微信公眾號:Spinal FPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    怎樣使用SpinalHDL Pipeline組件的resulting及overloaded?

    關(guān)于stageableToData,在之前的文章中已有介紹,今天來看下stageableOverloadedToData以及stageableResultingToData的作用。
    的頭像 發(fā)表于 09-11 09:47 ?952次閱讀
    怎樣使用<b class='flag-5'>SpinalHDL</b> <b class='flag-5'>Pipeline</b>組件<b class='flag-5'>里</b>的resulting及overloaded?

    SpinalHDLswitch方法有何用處呢

    ,當(dāng)我們需要根據(jù)tkeep信號來計算這一拍有多少有效數(shù)據(jù)時這里的代碼會是什么樣子……這種代碼寫的手有點兒累(又沒啥技術(shù)含量)……在SpinalHDL該如何做呢?switchSpinalHDL提供了
    發(fā)表于 06-22 14:25

    SpinalHDL實現(xiàn)優(yōu)雅的添加待跟蹤波形信號

    在FPGA的開發(fā)過程中,在線抓取波形往往是終極調(diào)試大法。而如何抓取信號,相信做邏輯開發(fā)的小伙伴都是輕車熟路,張口就來,無非兩種方式嘛:待跟蹤信號添加原語或者手動例化Ila。而在SpinalHDL
    發(fā)表于 06-22 14:37

    聊一聊SpinalHDL 1.6.1引入的blackbox inline功能

    BlackBox在之前的文章中,曾介紹過如何封裝兼容別人的RTL代碼:SpinalHDL——集成你的RTL代碼整體的思路還是簡潔明了的,相信讀完后照著做很快就能上手。這對于保持設(shè)計的兼容性及混合
    發(fā)表于 06-29 16:02

    SpinalHDL中關(guān)于casez的使用

    Verilog代碼時還是很少會直接這么來寫的,往往通常采用casez來進(jìn)行描述:那么在SpinalHDL中,我們是否也可以這么描述呢?SpinalHDL中的don't care像Verilog代碼中,casez
    發(fā)表于 07-06 10:59

    分享一個在SpinalHDLapply的有趣用法

    SpinalHDL和Chisel都是基于scala來實現(xiàn)的,而在SpinalHDL的example,偶然看到一個apply的有趣用法。“神奇”的邏輯,"奇葩"的寫法偶然看到一
    發(fā)表于 07-19 15:08

    SpinalHDL是如何讓仿真跑起來的

    SpinalHDL,當(dāng)我們的設(shè)計完成后如果說把生成的Verilog/SystemVerilog代碼用SystemVerilog來進(jìn)行仿真驗證那真是一件痛苦的事情,而且對于SystemVerilog本身來講,在
    發(fā)表于 07-25 15:09

    如何在SpinalHDL啟動一個仿真

    前言在安裝完成Verilator、GtkWave后,我們即可在IDEA通過SpinalHDL提供的仿真接口來對我們的設(shè)計進(jìn)行仿真。在《SpinalHDL—仿真環(huán)境》一文中已提到SpinalH
    發(fā)表于 07-26 16:59

    SpinalHDL有沒有什么好的方式實現(xiàn)一個接口位寬轉(zhuǎn)換呢

    下午微信群有個小伙伴問了這么一道題:將一個為UInt(128 bits)的Stream接口連接到一個UInt(32 bits)的StreamFiFo上,在SpinalHDL有沒有什么好的方式實現(xiàn)
    發(fā)表于 07-27 14:52

    SpinalHDL時鐘域中的定制與命名

    聊一聊在SpinalHDL時鐘域中時鐘的定制與命名。 相較于Verilog,在SpinalHDL,其對時鐘域有著更細(xì)致的描述,從而也能夠更精細(xì)的控制和描述。而對于時鐘域,我們往往關(guān)
    的頭像 發(fā)表于 03-22 10:14 ?2080次閱讀

    SpinalHDL用于跨時鐘域處理的一些手段方法

    每一個做數(shù)字邏輯的都繞不開跨時鐘域處理,談一談SpinalHDL用于跨時鐘域處理的一些手段方法。
    的頭像 發(fā)表于 07-11 10:51 ?1749次閱讀

    SpinalHDL如何實現(xiàn)Sobel邊緣檢測

    書接上文,趁著今天休假,采用SpinalHDL做一個小的demo,看看在SpinalHDL如何優(yōu)雅的實現(xiàn)Sobel邊緣檢測。
    的頭像 發(fā)表于 08-26 08:59 ?1222次閱讀

    SpinalHDLpipeline的設(shè)計思路

    如果你曾看過VexRSICV的設(shè)計,對于從事邏輯設(shè)計的你會驚訝從未想過邏輯設(shè)計還能這么來做。針對VexRSICV所衍生出的pipeline Lib,該系列會對pipeline進(jìn)行一次梳理。誠如之前一篇博客曾講,這是“勇者的游戲”。
    的頭像 發(fā)表于 08-16 15:11 ?886次閱讀
    <b class='flag-5'>SpinalHDL</b><b class='flag-5'>里</b><b class='flag-5'>pipeline</b>的設(shè)計<b class='flag-5'>思路</b>

    SpinalHDL在頂層一鍵優(yōu)化Stream/Flow代碼生成

    ? ? 在SpinalHDL在頂層一鍵優(yōu)化代碼中Stream/Flow代碼生成的payload,fragment。 難看的代碼 ? ????來看一段代碼: ? import
    的頭像 發(fā)表于 12-14 09:05 ?614次閱讀

    淺析SpinalHDLPipeline中的復(fù)位定制

    之前有系列文章介紹了SpinalHDLPipeline的使用,最近在一個功能模塊中真實的使用了這個lib。
    的頭像 發(fā)表于 03-17 17:31 ?942次閱讀
    淺析<b class='flag-5'>SpinalHDL</b>中<b class='flag-5'>Pipeline</b>中的復(fù)位定制