0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

英特爾展示先進玻璃基板封裝工藝,目標實現單一封裝萬億晶體管

產業(yè)大視野 ? 來源:產業(yè)大視野 ? 2023-09-20 17:45 ? 次閱讀

美國當地時間9月18日,英特爾宣布推出業(yè)界首款用于下一代先進封裝的玻璃基板,計劃在2026年至2030年量產。

這一突破性成就將使單一封裝納入更多的晶體管,并繼續(xù)推進摩爾定律,促成以數據為中心的應用。市場人士表示,英特爾在這方面的突破,也將使得近期市場上討論度很高的硅光子技術發(fā)展有了重要的進展。

英特爾介紹稱,與目前主流的有機基板相比,玻璃具有獨特的特性,例如超低平坦度、更好的熱穩(wěn)定性和機械穩(wěn)定性,從而使基板中的互連密度更高。這些優(yōu)勢將使芯片架構師能夠為人工智能(AI)等數據密集型工作負載創(chuàng)建高密度、高性能芯片封裝。

另外,到2030年之前,半導體產業(yè)很可能會達到使用有機材料在硅封裝上延展晶體管數量的極限,有機材料不僅更耗電,并且有著膨脹與翹曲等限制。半導體業(yè)的進步和發(fā)展依賴不斷延展,而玻璃基板是下一代半導體確許可行且不可或缺的進展。而隨著對更強大運算的需求增加,以及半導體業(yè)進入在一個封裝中使用多個“小芯片”(chiplets)的異質架構時代,提升信號傳輸速度、功率傳輸、設計規(guī)則和封裝基板穩(wěn)定度將至關重要。

英特爾指出,而與如今使用的有機基板相比,玻璃基板具有卓越的機械、物理和光學特性,在單一封裝中可連接更多晶體管,提高延展性并能夠組裝更大的小芯片復合體(稱為“系統(tǒng)級封裝”)。芯片架構師將能夠在一個封裝上以更小的面積封裝更多芯片塊(也稱為小芯片),同時以更高的彈性和更低的總體成本和功耗實現性能和增加密度。因此,玻璃基板將最先被導入效用最顯著的市場,也就是需要更大體積封裝(即數據中心、AI、繪圖處理)和更高速度的應用和工作上。

d41c0bf2-5797-11ee-939d-92fbcf53809c.png

事實上,玻璃基板可以承受更高的溫度,圖案變形(pattern distortion)降低50%,超低平坦度可加大微影制程的焦距深度,并且具有極其緊密的層間互聯覆蓋所需的尺寸穩(wěn)定性。由于這些獨特的特性,玻璃基板上的互聯密度可以提高10倍。此外,玻璃的機械特性更高,可以實現高組裝良率的超大型封裝。

而且,玻璃基板的高溫耐受度,讓芯片架構師在制定功率傳輸和信號路由的設計規(guī)則時保有彈性,能夠無縫集成光學互聯,以及在更高溫度制程下將電感器電容器嵌入到玻璃中加工。如此可以提供更好的功率傳輸解決方案,不僅大幅降低功耗且能實現所需的高速信號傳輸。上述諸多優(yōu)勢有助于半導體業(yè)更接近2030年在單一封裝納入1兆個晶體管的目標。

英特爾已在玻璃基板技術上投入了大約十年時間,目前在美國亞利桑那州擁有一條完全集成的玻璃研發(fā)線。該公司表示,這條生產線的成本超過10億美元,為了使其正常運行,需要與設備和材料合作伙伴合作,建立一個完整的生態(tài)系統(tǒng)。業(yè)內只有少數公司能夠負擔得起此類投資,而英特爾似乎是迄今為止唯一一家開發(fā)出玻璃基板的公司。

與任何新技術一樣,玻璃基板的生產和封裝成本將比經過驗證的有機基板更昂貴。英特爾目前還沒有談論產量。如果產品開發(fā)按計劃進行,該公司打算在本十年晚些時候開始出貨。第一批獲得玻璃基板處理的產品將是其規(guī)模最大、利潤最高的產品,例如高端HPC(高性能計算)和AI芯片,隨后逐步推廣到更小的芯片中,直到該技術可用于英特爾的普通消費芯片。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯系本站處理。 舉報投訴
  • 英特爾
    +關注

    關注

    60

    文章

    9822

    瀏覽量

    171133
  • 玻璃基板
    +關注

    關注

    0

    文章

    65

    瀏覽量

    10228
  • 封裝工藝
    +關注

    關注

    3

    文章

    55

    瀏覽量

    7954

原文標題:英特爾展示先進玻璃基板封裝工藝,目標實現單一封裝萬億晶體管

文章出處:【微信號:robotn,微信公眾號:產業(yè)大視野】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    英特爾是如何實現玻璃基板的?

    在今年9月,英特爾宣布率先推出用于下先進封裝玻璃基板,并計劃在未來幾年內向市場提供完整的解
    的頭像 發(fā)表于 07-22 16:37 ?246次閱讀

    英特爾計劃最快2026年量產玻璃基板

    在全球半導體封裝技術的演進中,英特爾近日宣布了項引人注目的計劃——最快在2026年實現玻璃基板
    的頭像 發(fā)表于 07-01 10:38 ?502次閱讀

    英特爾引領未來封裝革命:玻璃基板預計2026年實現量產

    在全球科技競爭日益激烈的今天,英特爾作為半導體行業(yè)的領軍者,不斷推動著技術創(chuàng)新的邊界。近日,英特爾宣布了項重大計劃,預計將在2026年至2030年之間實現
    的頭像 發(fā)表于 06-28 09:54 ?569次閱讀

    英特爾攜手日企加碼先進封裝技術

    英特爾公司近日在半導體技術領域再有大動作,加碼先進封裝技術,并與14家日本企業(yè)達成深度合作。此次合作中,英特爾創(chuàng)新性地租用夏普閑置的LCD面板廠,將其作為
    的頭像 發(fā)表于 06-11 09:43 ?354次閱讀

    玻璃基板時代,TGV技術引領基板封裝

    支持,是行業(yè)發(fā)展的重要方向。 ? 在先進封裝領域,玻璃基板現在是半導體基板材料的前沿熱點,玻璃
    的頭像 發(fā)表于 05-30 00:02 ?2493次閱讀

    英特爾加大玻璃基板技術布局力度

    近日,全球領先的半導體制造商英特爾宣布,將大幅增加對多家設備和材料供應商的訂單,旨在生產基于玻璃基板技術的下先進
    的頭像 發(fā)表于 05-20 11:10 ?456次閱讀

    盟立獲應用材料認證,進軍玻璃基板封裝用EFEM市場

    值得注意的是,在此次IFS晶圓代工會議上,英特爾公布了最新的3D先進封裝技術并再次強調,玻璃基板封裝
    的頭像 發(fā)表于 02-22 14:08 ?710次閱讀

    英特爾3D封裝工藝進入量產,集成萬億晶體管

    眾所周知,整個半導體領域正邁進個同時整合多個‘芯?!–hiplets,也被稱為‘小芯片’)在同一封裝中的多元時代?;诖耍?b class='flag-5'>英特爾的 Foveros 及新型 EMIB(嵌入式多芯片互連橋接)等高級
    的頭像 發(fā)表于 01-26 09:44 ?495次閱讀

    英特爾實現先進半導體封裝技術芯片的大規(guī)模生產

    當前,由于整個半導體產業(yè)步入將多個‘芯?!–hiplets)整合于單一封裝的新世代,芬柯斯(Foveros)與 EMIB(嵌入式多芯片互聯橋接)等英特爾先進封裝技術應運而生。
    的頭像 發(fā)表于 01-25 14:47 ?645次閱讀

    英特爾實現3D先進封裝技術的大規(guī)模量產

    英特爾宣布已實現基于業(yè)界領先的半導體封裝解決方案的大規(guī)模生產,其中包括英特爾突破性的3D封裝技術Foveros,該技術為多種芯片的組合提供了
    的頭像 發(fā)表于 01-25 14:24 ?249次閱讀

    英特爾:2030年前實現單個封裝內集成1萬億晶體管

    12月9日,英特爾在IEDM 2023(2023 IEEE 國際電子器件會議)上展示了使用背面電源觸點將晶體管縮小到1納米及以上范圍的關鍵技術。英特爾表示將在2030年前
    的頭像 發(fā)表于 12-28 13:58 ?645次閱讀

    英特爾CEO基辛格:摩爾定律放緩,仍能制造萬億晶體

    帕特·基辛格進步預測,盡管摩爾定律顯著放緩,到2030年英特爾依然可以生產出包含1萬億晶體管的芯片。這將主要依靠新 RibbonFET晶體管
    的頭像 發(fā)表于 12-26 15:07 ?592次閱讀

    英特爾展示晶體管微縮技術突破,將用于未來制程節(jié)點

    在IEDM 2023上,英特爾展示了結合背面供電和直接背面觸點的3D堆疊CMOS晶體管,這些開創(chuàng)性的技術進展將繼續(xù)推進摩爾定律。
    的頭像 發(fā)表于 12-11 16:31 ?580次閱讀

    玻璃基板對于下代多芯片封裝至關重要

    認為這是支持人工智能和機器學習等應用實現更高密度、更高性能芯片的關鍵。 △英特爾展示使用玻璃基板制成的未完成
    的頭像 發(fā)表于 12-07 15:29 ?821次閱讀

    英特爾玻璃基板將推動算力提升

    ? ? ? ?在今年9月,英特爾宣布率先推出用于下先進封裝玻璃基板,并計劃在未來幾年內向市
    的頭像 發(fā)表于 12-06 09:31 ?392次閱讀