電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術>基于FPGA的8PSK軟解調(diào)實現(xiàn)

基于FPGA的8PSK軟解調(diào)實現(xiàn)

12下一頁全文

本文導航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關推薦

基于VirtexⅡXC2V1000-5 FPGA實現(xiàn)寬帶多速率解調(diào)器的設計

研究了寬帶多速率QPSK解調(diào)器中的關鍵算法,給出了基于SPW的性能仿真結(jié)果.在此基礎上,研究了寬帶多速率解調(diào)器的FPGA實現(xiàn)方案,并對研制的樣機進行了性能測試.
2021-01-18 07:21:00736

2psk 調(diào)制解調(diào)是用 simulink 做呢還是 用matlab程序做?

老師給的一道題目:要求 1實現(xiàn)2psk 的調(diào)制與解調(diào)仿真2 使用相干檢測;3 加入加性高斯白噪聲;4傳輸距離 20KM;5 接收端 信噪比 S/N=2dB 請各位大俠告訴我,我應該
2012-10-04 14:36:17

2psk 調(diào)制解調(diào)是用 simulink 做呢還是 用matlab程序做?

老師給的一道題目:要求 1實現(xiàn)2psk 的調(diào)制與解調(diào)仿真2 使用相干檢測;3 加入加性高斯白噪聲;4傳輸距離 20KM;5 接收端 信噪比 S/N=2dB 請各位大俠告訴我,我應該
2012-10-04 14:39:21

8PSK全數(shù)字解調(diào)技術的實現(xiàn)

【作者】:張浩;張彧;潘長勇;【來源】:《電視技術》2010年02期【摘要】:實現(xiàn)了直接中頻采樣、數(shù)字下變頻的8PSK全數(shù)字解調(diào),分析了數(shù)字下變頻、時鐘恢復、載波恢復等模塊的實現(xiàn)原理及方法。在
2010-04-23 11:16:42

FPGA實現(xiàn)音頻信號的解調(diào)

FPGA新手想做一個音頻信號(收音機)的解調(diào),求大俠指導下或是給點質(zhì)料!謝了
2014-05-13 10:50:23

FPGA的2PSK數(shù)字調(diào)制解調(diào)代碼

誰有基于FPGA的2PSK數(shù)字調(diào)制解調(diào)Verilog代碼,有的發(fā)份我學習下,謝啦!QQ:975406162
2013-07-23 19:38:45

FPGA,PCI

求大神,FPGA內(nèi)部的PCI的IP實現(xiàn)PCI接口設計?
2013-05-02 16:12:21

PSK-5D-5

PSK-5D-5
2023-03-29 22:39:07

PSK-5D-9-T

PSK-5D-9-T
2023-03-28 14:47:40

PSK的相干解調(diào)怎么恢復載波?

PSK的相干解調(diào)怎么恢復載波?
2024-01-03 14:57:27

解調(diào)

采用軟件對信號進行解調(diào)的方法會有延遲、響應速度慢這樣的問題,怎么解決比較簡單,急求
2016-09-01 10:57:50

EGPRS測試:迎接8PSK調(diào)制的挑戰(zhàn)

This application note describes the 8PSK, the modulation format chosen by ETSI for EDGE
2019-09-20 09:06:04

OOK調(diào)制解調(diào)FPGA實現(xiàn),求Verilog代碼

自己對FPGA剛開始學習,但又特別需要用到FPGA實現(xiàn)OOK的調(diào)制解調(diào),求幫忙,由于是新人,還只有一個積分,太可憐了。求大神幫忙。
2021-11-26 16:11:04

QPSK信號的調(diào)制解調(diào)及其誤碼率的仿真

附件中有3個matlab的.m文件,是實現(xiàn)2psk信號的調(diào)制解調(diào)及其誤碼率的仿真。一個主文件,2個子文件。現(xiàn)在要求更改點東西實現(xiàn)4psk信號的調(diào)制解調(diào)及其仿真。。。。求高手。。。
2012-06-10 15:20:52

一種基于FPGA的全數(shù)字短波解調(diào)器設計

摘要:調(diào)幅是中短波廣播中一種主要的調(diào)制方式。本文針對現(xiàn)有的模擬短波AM解調(diào)器的不足,提出了一種基于FPGA的全數(shù)字解調(diào)器。其最大的優(yōu)點是將系統(tǒng)中的模擬電路壓縮到最小。短波信號在前端經(jīng)過模數(shù)轉(zhuǎn)換器采樣
2019-07-02 07:35:09

全數(shù)字QAM解調(diào)器方案采用了載波相位和符號定時的聯(lián)合估計環(huán)——基于FPGA的同步電路設計與實現(xiàn)研究 精選資料分享

的發(fā)展方向。本課題著重研究高速調(diào)制解調(diào)器的全數(shù)字實現(xiàn)方法和基于FPGA的QAM系統(tǒng)設計、仿真和實現(xiàn),首先簡要分析了QAM系統(tǒng)的基本原理和系統(tǒng)模型以及各個模塊的原理,提出了一種全數(shù)字調(diào)制解調(diào)器方案, 然后在
2021-07-27 06:38:51

關于TCP3D硬解調(diào)轉(zhuǎn)為解調(diào)的問題,求解答。

我看了PDK的例子,其中如果將硬解調(diào)轉(zhuǎn)換為解調(diào)(硬解調(diào)可以成功運行),是不是可以只要更改以下參數(shù): 1.sizeHD改為NULL,sizeSD為3 * cbPtr->blockSize
2018-06-21 02:53:35

哪位大神用FPGA實現(xiàn)過OFDM調(diào)制解調(diào)?

哪位大神用FPGA實現(xiàn)過OFDM調(diào)制解調(diào)
2015-07-02 22:26:44

基于labview的4ASK 4FSK 4PSK 調(diào)制與解調(diào)程序 求好人發(fā)個

基于labview的4ASK 4FSK 4PSK 調(diào)制與解調(diào)程序 求好人發(fā)個
2014-04-02 19:54:13

多種調(diào)制信號的解調(diào)實現(xiàn)過程

結(jié)合Labview平臺的圖形化特點,高效地實現(xiàn)PSK及QAM類調(diào)制信號的解調(diào)分析。以BPSK、8PSK及16QAM、32QAM為代表的信號,通過該軟件進行處理所得的I/Q星座圖及EVM Rms
2019-04-12 09:40:08

如何利用FPGA實現(xiàn)中頻調(diào)制解調(diào)系統(tǒng)?

中頻調(diào)制解調(diào)系統(tǒng)具有哪些特點?如何利用FPGA實現(xiàn)中頻調(diào)制解調(diào)系統(tǒng)?
2021-04-28 07:21:00

如何利用FPGA和VHDL語言實現(xiàn)PCM碼的解調(diào)

利用現(xiàn)場可編程門陣列(FPGA)和VHDL 語言實現(xiàn)了PCM碼的解調(diào),這樣在不改變硬件電路的情況下,能夠適應PCM碼傳輸速率和幀結(jié)構(gòu)變化,從而正確解調(diào)數(shù)據(jù)。
2021-05-07 06:58:37

如何利用FPGA技術實現(xiàn)數(shù)字式頻分多路副載波解調(diào)器的設計?

求大佬分享利用FPGA技術實現(xiàn)的數(shù)字式頻分多路副載波解調(diào)器設計?
2021-04-08 06:52:08

如何在multisim中仿真實現(xiàn)FM-GFSK和PM-D8PSK調(diào)制解調(diào)的電路。

在multisim中仿真實現(xiàn)FM-GFSK和PM-D8PSK調(diào)制解調(diào)的電路。
2017-04-06 15:08:31

如何采用FPGA部分動態(tài)可重構(gòu)方法設計信號解調(diào)系統(tǒng)?

FPGA強大的資源和實時處理能力來快速的實現(xiàn)信號的跟蹤、鎖定和解調(diào)但是,基于硬件的實現(xiàn)方案和基于軟件的方案相比,往往存在不能迅速適應調(diào)制樣式改變的問題。為了有效斛決這個問題,筆者通過基下FPGA部分動態(tài)町重構(gòu)技術,提出了相應的解決方案。
2019-09-05 07:08:02

怎么實現(xiàn)基于FPGA的CDMA調(diào)制/解調(diào)模塊的設計?

本文設計了一個基于FPGA 的直接序列擴頻系統(tǒng)的水聲通信調(diào)制/解調(diào)系統(tǒng),目的在于使水聲無線通信中具有更強的抗干擾性和保密性,系統(tǒng)中包含了信號的擴頻及BPSK 調(diào)制以及相應的解調(diào)模塊,并且在Modelsim 仿真軟件上驗證成功。
2021-05-24 06:41:18

怎樣用FPGA實現(xiàn)FSK調(diào)制解調(diào)呢?

最近想做這方面的,怎樣用FPGA實現(xiàn)FSK調(diào)制解調(diào)?但是我一點頭緒都沒有,哪位高手幫幫忙,講解一下什么的
2023-04-06 14:29:08

怎樣用FPGA實現(xiàn)FSK調(diào)制解調(diào)呢?

最近想做這方面的,怎樣用FPGA實現(xiàn)FSK調(diào)制解調(diào)?但是我一點頭緒都沒有,哪位高手幫幫忙,講解一下什么的
2023-05-08 17:34:09

數(shù)字電視信道解調(diào)芯片M88DS3000電子資料

概述:M88DS3000采用100 管腳 LQFP (帶裸焊盤)封裝,是一款符合 DVB-S 和 DVB-S2 標準的高性能單芯片衛(wèi)星數(shù)字電視解調(diào)器,它支持 QPSK、8PSK、16APSK 和 32APSK...
2021-04-12 06:30:55

畢設要用fpga實現(xiàn)液晶、鍵盤控制調(diào)制解調(diào)怎么入手

FPGA核控制鍵盤與液晶顯示,實現(xiàn)調(diào)制解調(diào)器人機接口界面。 主要參數(shù)指標包括:可實現(xiàn)對調(diào)制解調(diào)11種傳輸模式的選擇和配置;可對載波鎖定、符號同步鎖定、幀同步鎖定等狀態(tài)信息進行查詢和顯示。任務書如下:第
2014-03-16 23:39:13

求一種基于VHDL的4PSK信號的調(diào)制和解調(diào)設計方案

4PSK調(diào)制解調(diào)原理是什么基于VHDL的4PSK信號的調(diào)制和解調(diào)設計方案
2021-04-30 06:16:53

求一種高速幀同步和相位模糊估計的方法

本文既研究了高速條件下8PSK信號的幀同步問題,同時又對其相位模糊值進行估計。主要依靠并行結(jié)構(gòu),對傳統(tǒng)幀同步方法進行了簡化和改進,從而同時完成上述兩個任務。并且將該算法付諸FPGA實現(xiàn),驗證了算法的有效性。
2021-05-08 06:08:16

求大俠給個基帶信號的調(diào)制與解調(diào)的方法和程序代碼

要調(diào)制與解調(diào)ask,fsk,psk,有沒有大俠解答?
2012-05-09 20:56:27

求用matlab實現(xiàn)8PSK調(diào)制的源代碼

求用matlab實現(xiàn)8PSK調(diào)制的源代碼
2014-03-24 12:31:17

移相鍵控(PSK)實驗

移相鍵控(PSK)實驗  一、實驗目的    1、了解M序列的性能,掌握其實現(xiàn)方法及其作用; &
2009-10-11 08:59:18

移相鍵控(PSK)實驗

移相鍵控(PSK)實驗 一、實驗目的    1、了解M序列的性能,掌握其實現(xiàn)方法及其作用;  &
2008-10-21 12:38:45

移相鍵控(PSK/DPSK)調(diào)制與解調(diào)實驗

用單片機開發(fā)版實現(xiàn) 移相鍵控(PSK/DPSK)調(diào)制與解調(diào)實驗,有哪位通信大神會寫這個程序?在線等~~急?。。?!
2016-01-05 22:25:28

請問FPGA怎么解調(diào)模擬信號?

最近的課題需要使用FPGA解調(diào)PPM(脈沖位置調(diào)制)信號。發(fā)送端:使用激光發(fā)送數(shù)據(jù),調(diào)制方式為PPM調(diào)制。(說白了,就是光的高脈沖和光的低脈沖。用光的亮暗兩個狀態(tài)傳數(shù)據(jù))接收端:使用PIN光電二極管
2019-05-05 07:58:20

請問如何基于賽靈思ZC706和AD9361平臺驗***PSK調(diào)制解調(diào)?

ZC706和AD9361實現(xiàn)QPSK的調(diào)制解調(diào)的例子,但是那個是基于Matlab的,我和同學一起嘗試了下,感覺不是很靠譜。提問就是希望有做過基于上述開發(fā)板的驗證工作的前輩們,給點經(jīng)驗,有沒有相關的資料,可以脫離Matlab來基于ZC706和AD9361來驗***PSK的調(diào)制解調(diào)性能。
2018-08-21 10:14:29

載波重用微波光纖矢量信號傳輸系統(tǒng)性能研究

,實現(xiàn)了矢量信號的傳輸以及調(diào)制方式由8PSK到QPSK的轉(zhuǎn)換,并使用光纖Bragg光柵(FBG)實現(xiàn)了光載波的重新使用,降低了系統(tǒng)的成本。分析了實驗原理并搭建了實驗鏈路,在中心站,采用光OCS方案產(chǎn)生
2010-04-23 11:30:37

基于FPGA 的QPSK 調(diào)制解調(diào)電路設計與實現(xiàn)Design

數(shù)字調(diào)制解調(diào)技術在數(shù)字通信中占有非常重要的地位,數(shù)字通信技術與FPGA的結(jié)合是現(xiàn)代通信系統(tǒng)發(fā)展的一個必然趨勢。文中介紹了QPSK調(diào)制解調(diào)的原理,并基于FPGA實現(xiàn)了QPSK調(diào)制
2009-06-09 09:06:44124

基于F PGA的QPS K調(diào)制解調(diào)電路設計與實現(xiàn)

數(shù)字調(diào)制解調(diào)技術在數(shù)字通信中占有非常重要的地位,數(shù)字通信技術與FPGA的結(jié)合是現(xiàn)代通信系統(tǒng)發(fā)展的一個必然趨勢。文中介紹了QPSK調(diào)制解調(diào)的原理,并基于FPGA實現(xiàn)了QPSK調(diào)制解調(diào)
2009-07-22 15:42:250

基于CPLD的PSK系統(tǒng)設計

本論文主要討論和仿真了基于CPLD的PSK系統(tǒng)單元設計,在闡述調(diào)制解調(diào)系統(tǒng)的基本原理與設計方法的同時,又詳細地介紹了系統(tǒng)的總體電路框圖及各個模塊的具體軟硬件實現(xiàn)。作者以V
2009-07-30 11:42:3117

基于FPGA的QPSK解調(diào)器的設計與實現(xiàn)

根據(jù)軟件無線電的思想,用可編程器件FPGA 實現(xiàn)了QPSK 解調(diào),采用帶通采樣技術對中頻為70MHz 的調(diào)制信號采樣,通過對采樣后的頻譜進行分析,用相干解調(diào)方案實現(xiàn)了全數(shù)字解調(diào)
2009-08-27 11:00:1468

基于FPGA的OQPSK解調(diào)器的設計與實現(xiàn)

根據(jù)軟件無線電的思想,以FPGA 器件為核心實現(xiàn)了OQPSK 的解調(diào),大部分功能由FPGA 內(nèi)部資源來實現(xiàn)。整個設計以Altera 公司可編程邏輯芯片F(xiàn)LEX 10K 系列芯片為核心實現(xiàn)OQPSK 解調(diào)器,具有
2009-09-08 14:21:1538

一種全數(shù)字BPSK解調(diào)器的設計與FPGA實現(xiàn)

介紹一種全數(shù)字BPSK 解調(diào)器的設計及FPGA 實現(xiàn)。該解調(diào)器采用前向開環(huán)的結(jié)構(gòu)實現(xiàn)載波同步,與傳統(tǒng)的閉環(huán)反饋結(jié)構(gòu)相比,該解調(diào)器具有同步速度快,載波頻差估計范圍大等優(yōu)點,
2009-12-19 15:57:3652

基于FPGA的MSK調(diào)制解調(diào)器設計與應用

提出了一種基于FPGA 的數(shù)字MSK 調(diào)制解調(diào)器設計方法,應用VHDL 語言進行了模塊設計和時序仿真。硬件部分在Altera 公司 EP2C15AF256C8N FPGA實現(xiàn)。結(jié)果表明,數(shù)字MSK調(diào)制解調(diào)器具有
2010-01-25 14:29:5353

一種全數(shù)字BPSK解調(diào)器的設計與FPGA實現(xiàn)

介紹一種全數(shù)字BPSK解調(diào)器的設計及FPGA實現(xiàn)。該解調(diào)器采用前向開環(huán)的結(jié)構(gòu)實現(xiàn)載波同步,與傳統(tǒng)的閉環(huán)反饋結(jié)構(gòu)相比,該解調(diào)器具有同步速度快,載波頻差估計范圍大等優(yōu)點,尤其適合
2010-07-21 17:34:1947

基于FPGA的光纖光柵解調(diào)系統(tǒng)的研究

 波長信號的解調(diào)實現(xiàn)光纖光柵傳感網(wǎng)絡的關鍵,基于現(xiàn)有的光纖光柵傳感器解調(diào)方法,提出一種基于FPGA的雙匹配光纖光柵解調(diào)方法,此系統(tǒng)是一種高速率、高精度、低成本
2010-11-22 16:05:1437

基于VHDL的4PSK調(diào)制器的設計

闡述了4PSK調(diào)制器的基本原理,給出調(diào)制系統(tǒng)設計框圖。在MAX+plusII環(huán)境下,利用VHDL語言實現(xiàn)了4PSK調(diào)制器設計,并對系統(tǒng)的各模塊仿真。采用VHDL模塊化和自上而下的設計方法,提高了
2010-12-20 17:31:2851

移相鍵控(PSK)實驗

實驗五(PSK)移相鍵控實驗 一、實驗目的: 1、  了解M序列的性能,掌握其實現(xiàn)方法及其應用;
2008-10-21 14:01:116920

基于VHDL的4PSK的設計與實現(xiàn)

基于VHDL的4PSK的設計與實現(xiàn)  1 引言   實際通信中的許多信道都不能直接傳送基帶信號,必須使用基帶信號控制載波波形的某些參量,使得這些參量隨基帶信
2010-02-26 13:23:142586

什么是二相絕對移相調(diào)制(2PSK)

什么是二相絕對移相調(diào)制(2PSK) 1.2PSK信號的調(diào)制方框圖 第一種方法:鍵控法
2010-03-18 14:14:272386

二相BPSK (DPSK)調(diào)制解調(diào)技術

二相BPSK (DPSK)調(diào)制解調(diào)技術有二相BPSK調(diào)制解調(diào)實驗,二相DPSK調(diào)制解調(diào)實驗,PSK解調(diào)載波提取實驗
2011-03-15 22:41:4315712

GMSK調(diào)制解調(diào)的數(shù)字實現(xiàn)方法

分析了高斯濾波最小頻移鍵控( GMSK )調(diào)制解調(diào)存在的問題,研究了其原理與特點;詳細闡述了其在移動通信中的數(shù)字實現(xiàn)方法;給出了用FPGA實現(xiàn)的框圖和GMSK 數(shù)字解調(diào)方法,以及調(diào)制解
2011-07-08 17:05:0772

認知無線電中自適應調(diào)制解調(diào)器的FPGA實現(xiàn)

在無線電中,分析調(diào)制解調(diào)器的轉(zhuǎn)換作用和,認知無線電中自適應調(diào)制解調(diào)器的FPGA實現(xiàn)。
2011-10-13 16:44:4345

[9.14.1]--2PSK的匹配濾波器解調(diào)

通信原理PSK
jf_90840116發(fā)布于 2022-11-22 15:01:16

FPGA實現(xiàn)2FSK數(shù)字信號調(diào)制解調(diào)

基于FPGA的調(diào)制和解調(diào)的數(shù)字信號有多種,包括2ASK、2FSK、2PSK等,文中介紹了2FSK信號的調(diào)制與解調(diào),以及該信號的功率譜。最后提供驗證結(jié)果,證明仿真結(jié)果符合要求。
2012-03-23 11:17:28398

基于FPGA的BPSK調(diào)制與解調(diào)器設計

本文設計實現(xiàn)了一種新型的BPSK信號調(diào)制解調(diào)器,利用m序列的隨機性來產(chǎn)生輸入基帶信號,詳細介紹了基于FPGA的BPSK信號調(diào)制解調(diào)器的設計方法,提供了VHDL源代碼在Quartus II環(huán)境下的仿真
2012-03-31 15:06:5667

基于FPGA部分動態(tài)可重構(gòu)的信號解調(diào)系統(tǒng)的實現(xiàn)

針對調(diào)制樣式在不同環(huán)境下的變化,采用了FPGA部分動態(tài)可重構(gòu)的新方法,通過對不同調(diào)制樣式信號的解調(diào)模塊的動態(tài)加載,來實現(xiàn)了不同環(huán)境下針對不同調(diào)制樣式的解調(diào)。這種方式比傳
2012-06-18 13:42:1333

DSP之PSK調(diào)制與解調(diào)VHDL程序及仿真教程

DSP之 PSK調(diào)制與解調(diào)VHDL程序及仿真教程,很好的DSP自學資料,快來學習吧。
2016-04-15 17:42:4115

8psk系統(tǒng)設計

8psk的設計和仿真。
2016-05-16 17:15:2543

BPSK_QPSK_8PSK_16QAM等調(diào)制方式的性能仿真及頻

本文對BPSK QPSK 8PSK 16QAM等調(diào)制方式的性能進行仿真及頻率利用率的對比及分析,主要對QPSK和16QAM的相關性能進行了闡述。
2016-05-17 09:49:5123

DPPM調(diào)制解調(diào)技術的FPGA實現(xiàn)

電子專業(yè)單片機開發(fā)中的學習教程資料——DPPM調(diào)制解調(diào)技術的FPGA實現(xiàn)
2016-08-08 14:45:210

基于FPGA的光柵解調(diào)系統(tǒng)的設計

DM9000A 完成網(wǎng)絡接口設計,在FPGA 內(nèi)部實現(xiàn)了對光柵傳感信號質(zhì)心解調(diào)算法的程序設計和以太網(wǎng)接口控制程序的設計,FPGA具有多通道高速同步解算的能力,在對F-P濾波器500Hz的掃描速率下,很好地實現(xiàn)了光纖光柵波長的同步實時解算。
2017-11-18 12:04:502684

基于ofdm的調(diào)制解調(diào)原理,ofdm調(diào)制解調(diào)fpga代碼

  摘要:OFDM是一種無線環(huán)境下的高速傳輸技術。本文主要總結(jié)了基于ofdm的調(diào)制解調(diào)原理以及OFDM 的FPGA代碼實現(xiàn),下面我們一起來看看原文。
2017-12-12 14:10:0222412

基于QPSK數(shù)字調(diào)制解調(diào)FPGA實現(xiàn)

實現(xiàn),其具有頻譜利用率高、頻譜特性好、抗干擾性能強、傳輸速率快等特點。運用verilog編寫在QPSK調(diào)制解調(diào)代碼以及ISE自帶的IP CORE在Xilinx公司的FPGA平臺上測試,結(jié)果表明系統(tǒng)可完全實現(xiàn)調(diào)制解調(diào)功能,并具有集成度高和可軟件升級等優(yōu)點。
2018-02-20 07:50:0019252

采用FPGA技術如何設計OQPSK解調(diào)

本設計中OQPSK解調(diào)器接收端接收的信號是10.7MHz已調(diào)信號,按照軟件無線電的設計思想,先進行計算機的模擬仿真,充分利用FPGA的特點,成功實現(xiàn)了對的10.7MHz的OQPSK信號差分解調(diào)
2018-10-08 09:25:002495

如何使用FPGA設計和實現(xiàn)OFDM系統(tǒng)和OFDM中的FFT模塊設計及其FPGA實現(xiàn)

建立了一個基于FPGA的可實現(xiàn)流水化運行的OFDM系統(tǒng)的硬件平臺,包括模擬前端、基于FPGA的OFDM調(diào)制器和OFDM 解調(diào)器。重點給出了OFDM調(diào)制解調(diào)器的實現(xiàn)構(gòu)架,對FPGA實現(xiàn)方法進行了詳細的描述,介紹了系統(tǒng)調(diào)試方法,并對系統(tǒng)進行了性能評價。
2018-12-13 16:45:5122

如何使用FPGA設計與實現(xiàn)一種全數(shù)字BPSK解調(diào)

介紹一種全數(shù)字BPSK 解調(diào)器的設計及FPGA 實現(xiàn)。該解調(diào)器采用前向開環(huán)的結(jié)構(gòu)實現(xiàn)載波同步,與傳統(tǒng)的閉環(huán)反饋結(jié)構(gòu)相比,該解調(diào)器具有同步速度快,載波頻差估計范圍大等優(yōu)點,尤其適合用于突發(fā)數(shù)字通信系統(tǒng)
2018-12-13 17:56:4914

有哪些場合會用到8PSK?如何仿真8PSK

8PSK調(diào)制方式是常用的高階調(diào)制方式,在衛(wèi)星通信中經(jīng)常使用。不過考慮到實際使用中會存在相位模糊度,因此大多將8PSK結(jié)合差分的方式出現(xiàn)。程序中涉及了鎖相環(huán)程序,為后續(xù)的鎖相環(huán)章節(jié)的講解做好準備。需要重點理解環(huán)路噪聲帶寬的概念。
2019-06-30 11:48:458752

AN-852: 利用ADF702x上的測試DAC實現(xiàn)模擬FM DEMOD、SNR測量、FEC解碼、PSK/4FSK解調(diào)等功能

AN-852: 利用ADF702x上的測試DAC實現(xiàn)模擬FM DEMOD、SNR測量、FEC解碼、PSK/4FSK解調(diào)等功能
2021-03-20 20:36:120

基于一種低信噪比下8PSK的快速載波同步及FPGA實現(xiàn)

傳統(tǒng)的8PSK頻偏估計方法通常需要上百個符號完成,其優(yōu)點在于能夠實現(xiàn)較大的載波頻偏補償,但是同步時間較長,不
2021-04-02 13:48:182747

無線通信中的IQ調(diào)制,BPSK調(diào)制,QPSK調(diào)制,16QAM調(diào)制的理解

前面我們講了IQ調(diào)制和解調(diào)的原理,下來我們看一下如何應用IQ調(diào)制來實現(xiàn)MPSK調(diào)制(QPSK、8PSK等)、MQAM調(diào)制(16QAM、64QAM等)。
2022-12-19 10:30:387107

基于FPGA的光纖光柵解調(diào)系統(tǒng)的研究

摘要:波長信號的解調(diào)實現(xiàn)光纖光柵傳感網(wǎng)絡的關鍵,基于現(xiàn)有的光纖光柵傳感器解調(diào)方法,提出一種基于FPGA的雙匹配光纖光柵解調(diào)方法,此系統(tǒng)是一種高速率、高精度、低成本的解調(diào)系統(tǒng),并且通過引入雙匹配光柵
2023-01-31 15:05:141

PSK調(diào)制解調(diào)知識簡析

基帶信號生成模塊(pcm.v)產(chǎn)生碼率為390.625kbps的基帶數(shù)據(jù),送給CRD500擴展口觀測的同時,送給PSK調(diào)制模塊;
2023-03-28 09:23:083940

基于FPGA的DDS設計,并通過DDS實現(xiàn)ASK,FSK,PSK三種調(diào)制(一)

FPGA數(shù)字通信調(diào)制解調(diào)技術
2023-11-07 11:40:58227

已全部加載完成