電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀(guān)看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>基于FPGA的全新DSC并行譯碼器設(shè)計(jì)及理論

基于FPGA的全新DSC并行譯碼器設(shè)計(jì)及理論

123下一頁(yè)全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀(guān)點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

顯示譯碼器

數(shù)字顯示電路顯示出便于人們觀(guān)測(cè)、查看的十進(jìn)制數(shù)字。顯示譯碼器主要由譯碼器和驅(qū)動(dòng)器兩部分組成,通常這二者都集成在一塊芯片中。
2011-11-16 14:40:125479

138譯碼器怎么用

138譯碼器的設(shè)置目的是為了實(shí)現(xiàn)IO復(fù)用,單片機(jī)上IO資源緊張,掛載的外設(shè)較多,為了解決這一矛盾,引入了138譯碼器單個(gè)138譯碼器能夠利用3個(gè)IO實(shí)現(xiàn)8路選擇(在邏輯上相當(dāng)于擴(kuò)展了5個(gè)IO),比賽
2022-01-12 07:25:11

138譯碼器的運(yùn)用

看完74hl138譯碼器的技術(shù)文檔,就編了這個(gè)。很好用的芯片。{:soso_e130:}
2012-08-19 00:54:06

3 -8譯碼器

3 -8譯碼器 不知怎么仿真波形弄不出來(lái),主要是輸出引腳的波形有問(wèn)題,希望大家能給以指導(dǎo),感謝大家?。?!
2014-06-12 21:41:50

3-8譯碼器

3-8譯碼器希望大家能夠指導(dǎo)指導(dǎo)一下!出問(wèn)題的地方我已用紅色箭頭表明,感謝大家?。?!
2014-06-12 22:33:56

74LS138譯碼器的擴(kuò)展方法是什么

74LS138譯碼器是什么?74LS138譯碼器的擴(kuò)展方法是什么?
2022-01-19 07:14:36

7段數(shù)碼顯示譯碼器設(shè)計(jì)實(shí)驗(yàn)

實(shí)驗(yàn)三 7段數(shù)碼顯示譯碼器設(shè)計(jì)(1)實(shí)驗(yàn)?zāi)康模簩W(xué)習(xí)7段數(shù)碼顯示譯碼器的設(shè)計(jì);學(xué)習(xí)VHDL的CASE語(yǔ)句應(yīng)用。(2)實(shí)驗(yàn)原理:7段數(shù)碼顯示譯碼器是純組合電路,通常的小規(guī)模專(zhuān)用IC,如74或4000系列
2009-10-11 09:22:08

譯碼器

第一次發(fā)帖,自己仿真的一個(gè)譯碼器,謝謝大家!
2016-03-22 13:34:35

譯碼器及其應(yīng)用實(shí)驗(yàn)

譯碼器及其應(yīng)用實(shí)驗(yàn)
2017-03-21 13:36:44

譯碼器和usp的連接方式

那位大大能教一下bcd譯碼器和usp模塊的連接方式,需要什么過(guò)度嗎?
2012-07-15 01:06:12

譯碼器定義

譯碼器1. 譯碼器定義譯碼器是一種用以檢測(cè)輸入位(碼)的特定組合是否存在,并以特定的輸出電平來(lái)指示這種特定碼的存在的數(shù)字電路。——《數(shù)字電子技術(shù)基礎(chǔ)系統(tǒng)方法》譯碼器的功能是將具有特定含義的二進(jìn)制碼
2021-12-07 09:37:27

譯碼器的資料

這是譯碼器的一些資料。
2014-07-13 11:59:08

LED譯碼器

。TTL、CMOS又沒(méi)有現(xiàn)成譯碼器可用。故而用二極管搭建此特殊譯碼器,簡(jiǎn)單、可靠低成本與現(xiàn)有系統(tǒng)親和度高。我的高一級(jí)的產(chǎn)品顯示部分用的是人機(jī)界面。
2016-11-17 09:40:39

Xilinx FPGA入門(mén)連載20:3-8譯碼器實(shí)驗(yàn)

`Xilinx FPGA入門(mén)連載20:3-8譯碼器實(shí)驗(yàn)特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1jGjAhEm 1 功能簡(jiǎn)介所有3-8譯碼器,大家
2015-11-02 13:17:03

三八譯碼器的應(yīng)用

芯片,這種數(shù)字芯片由簡(jiǎn)單的輸入邏輯來(lái)控制輸出邏輯,比如 74HC138這個(gè)三八譯碼器,圖 3-15 是 74HC138 在我們?cè)韴D上的一個(gè)應(yīng)用。從這個(gè)名字來(lái)分析,三八譯碼器,就是把 3 種輸入狀態(tài)...
2021-07-19 09:08:52

什么是3-8譯碼器

什么是3-8譯碼器,急啊,速求
2013-03-26 16:52:38

譯碼器可作什么使用?

譯碼器的使能端看做輸入端、譯碼器的輸入端看做地址端,則全譯碼器可作什么使用
2015-05-18 11:41:06

關(guān)于138譯碼器位運(yùn)算簡(jiǎn)化代碼的思路分享

關(guān)于138譯碼器位運(yùn)算簡(jiǎn)化代碼的思路分享
2022-02-25 07:43:15

基于FPGA的Viterbi譯碼器該怎樣去設(shè)計(jì)?

譯碼器有哪些功能?Viterbi譯碼器是由哪幾部分組成的?
2021-05-07 07:28:33

基于FPGA的漢明碼譯碼器如何對(duì)碼元數(shù)據(jù)添加噪聲干擾?

入門(mén)小白求助,我最近在做畢業(yè)設(shè)計(jì)的時(shí)候 看到一篇《基于FPGA的漢明碼譯碼器》相關(guān)論文,其中學(xué)者對(duì)該譯碼器是這樣設(shè)計(jì)的(附圖),我想問(wèn)一下在noise_add模塊中是如何向輸入數(shù)據(jù)添加噪聲干擾
2020-02-26 23:29:41

基于IP核的Viterbi譯碼器實(shí)現(xiàn)

【摘要】:Viterbi譯碼器在通信系統(tǒng)中應(yīng)用非常普遍,針對(duì)采用DSP只能進(jìn)行相對(duì)較低速率的Vit-erbi譯碼的問(wèn)題,人們開(kāi)始采用FPGA實(shí)現(xiàn)高速率Viterbi譯碼。本文首先簡(jiǎn)單描述了
2010-04-26 16:08:39

多種方式自制CPU 譯碼器

在DIY的時(shí)候,有多元的選擇是最好的。不同品種,不同的廠(chǎng)家,可必免斷供,不同的型號(hào)可避免漲價(jià)打消制作的想法。在CPU或MCU中譯碼器至關(guān)重要,多位譯碼器可使用74138多片聯(lián)級(jí),4位譯碼器可選
2022-10-02 16:40:44

如何準(zhǔn)確設(shè)計(jì)出符合功能要求的顯示譯碼器

顯示譯碼器是什么?如何準(zhǔn)確設(shè)計(jì)出符合功能要求的顯示譯碼器?
2021-06-01 06:58:12

如何利用FPGA設(shè)計(jì)Viterbi譯碼器

增加一些監(jiān)督碼元,這些監(jiān)督碼與信碼之間有一定的關(guān)系,接收端可以利用這種關(guān)系由信道譯碼器來(lái)發(fā)現(xiàn)或糾正錯(cuò)誤的碼元。
2019-08-15 06:12:00

如何利用譯碼器進(jìn)行組合邏輯電路的設(shè)計(jì)呢

集成電路編碼譯碼器的工作原理即邏輯功能是什么?如何利用邏輯門(mén)去實(shí)現(xiàn)一種集成電路編碼呢?如何利用譯碼器進(jìn)行組合邏輯電路的設(shè)計(jì)呢?
2021-11-03 06:55:24

怎么實(shí)現(xiàn)BCH譯碼器FPGA硬件設(shè)計(jì)?

本文通過(guò)對(duì)長(zhǎng)BCH碼優(yōu)化方法的研究與討論,針對(duì)標(biāo)準(zhǔn)中二進(jìn)制BCH碼的特性,設(shè)計(jì)了實(shí)現(xiàn)該譯碼器FPGA硬件結(jié)構(gòu)。
2021-06-15 09:23:27

怎么實(shí)現(xiàn)DTMB標(biāo)準(zhǔn)BCH譯碼器設(shè)計(jì)?

BCH碼是目前最為常用的糾錯(cuò)碼之一,我國(guó)的數(shù)字電視廣播地面?zhèn)鬏敇?biāo)準(zhǔn)DTMB也使用了縮短的BCH碼作為前向糾錯(cuò)編碼的外碼。針對(duì)該BCH碼的特點(diǎn),采用BM譯碼算法,設(shè)計(jì)了一種實(shí)時(shí)譯碼器。與其它設(shè)計(jì)方案
2021-05-25 07:04:32

怎么實(shí)現(xiàn)RS編譯碼器的設(shè)計(jì)?

本文研究了RS碼的實(shí)現(xiàn)方法,并基于Xilinx的FPGA芯片Spartan-6 XC6SLX45完成了RS編譯碼器的設(shè)計(jì),同時(shí)對(duì)其進(jìn)行了仿真和在線(xiàn)調(diào)試,并給出了功能仿真圖和測(cè)試結(jié)果。時(shí)序仿真結(jié)果表明,該編譯碼器能實(shí)現(xiàn)預(yù)期功能。
2021-06-21 06:23:53

急求基于FPGA的Turbo碼編譯碼器各模塊實(shí)現(xiàn)的 VHDL或verilog HDL程序

基于FPGA的Turbo碼編譯碼器各模塊實(shí)現(xiàn)的 VHDL或verilog HDL程序。急求啊謝謝大神啦?。?/div>
2015-06-08 22:45:24

截短Reed-Solomon碼譯碼器FPGA實(shí)現(xiàn)

截短Reed-Solomon碼譯碼器FPGA實(shí)現(xiàn)提出了一種改進(jìn)的BM算法,并在此基礎(chǔ)上提出了一種大量采用并行結(jié)構(gòu)的截短RS碼譯碼器的實(shí)現(xiàn)方式。驗(yàn)證表明,該算法能顯著提高基于FPGA的RS譯碼器
2009-09-19 09:39:43

畢業(yè)設(shè)計(jì) 基于EDA的CMI碼編碼譯碼器的設(shè)計(jì)

畢業(yè)設(shè)計(jì) 基于EDA的CMI碼編碼譯碼器的設(shè)計(jì),共20頁(yè),7505字  摘要   CMI碼是一種應(yīng)用于PCM四次群和光纖傳輸系統(tǒng)中的常用線(xiàn)路碼型,它具有碼變換設(shè)備簡(jiǎn)單、便于時(shí)鐘提取、有一定的糾錯(cuò)能力
2009-03-25 13:19:20

求multisim數(shù)碼顯示譯碼器仿真?。。?!譯碼器是CC4511

求multisim數(shù)碼顯示譯碼器仿真!?。。?b class="flag-6" style="color: red">譯碼器是CC4511。。。。。我的調(diào)不太通,希望看看大神做的成品,參考一下!?。?!,很急!
2015-12-21 21:13:26

求一種在FPGA中使用行為描述語(yǔ)句實(shí)現(xiàn)3-8譯碼器的設(shè)計(jì)方案

1、在FPGA中使用行為描述語(yǔ)句實(shí)現(xiàn)3-8譯碼器設(shè)計(jì)思路譯碼器電路有n個(gè)輸入和2n個(gè)輸出,每個(gè)輸出都對(duì)應(yīng)著一個(gè)可能的二進(jìn)制輸入。本實(shí)驗(yàn)設(shè)計(jì)實(shí)現(xiàn)一個(gè)3-8譯碼器,表3.1給出了該譯碼器的真值表。從
2022-07-01 15:26:26

用2-4譯碼器連接為3-8譯碼器

我先寫(xiě)了一個(gè)2-4譯碼器 通過(guò)testbench確定2-4譯碼器寫(xiě)的沒(méi)有錯(cuò)誤 但是將2-4譯碼器連接成3-8譯碼器的時(shí)候出現(xiàn)錯(cuò)誤Error (10663): Verilog HDL Port
2020-08-23 20:36:24

突發(fā)通信中的Turbo碼編譯碼算法的FPGA實(shí)現(xiàn)

Turbo碼編碼FPGA實(shí)現(xiàn)Turbo碼譯碼器FPGA實(shí)現(xiàn)Turbo碼編譯碼器的性能有哪些?
2021-05-07 06:06:23

視頻編碼譯碼器的主要特性是什么?

本文介紹了視頻編碼譯碼器主要特性。
2021-06-02 06:39:47

設(shè)計(jì)一個(gè)虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能

設(shè)計(jì)一個(gè)虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能
2012-05-15 15:16:39

設(shè)計(jì)一個(gè)虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能

設(shè)計(jì)一個(gè)虛擬3-8譯碼器,實(shí)現(xiàn)138譯碼器的功能!急急急{:soso_e183:}
2012-05-15 15:12:44

請(qǐng)問(wèn)有4 16譯碼器嗎?

求助一個(gè)4 16譯碼器,要求只出一個(gè)高電平其余低電平。不要告訴我加反相,我也不想用CC4514,還有沒(méi)有別的芯片了。
2019-06-24 00:36:28

譯碼器 數(shù)據(jù)分配器

  譯碼器/數(shù)據(jù)分配器   4.2.1  譯碼器的定義與功
2007-12-20 23:12:0017

編碼器與譯碼器

? 第4章 ? 編碼器與譯碼器
2007-12-20 23:14:1857

譯碼器課件ppt

19.4  譯碼器譯碼器的分類(lèi)         1. 譯碼器 —輸入為非十進(jìn)制編碼,   輸出為十進(jìn)制編碼;2. 編碼器 —輸入為十進(jìn)制編碼,   輸
2008-09-27 13:04:230

基于FPGA/CPLD的LED/LCD通用顯示譯碼器設(shè)計(jì)

基于FPGA/CPLD的LED/LCD通用顯示譯碼器設(shè)計(jì)Design of Commonly Used LED/LCD Display Decoder Based on FPGA/CPLD 摘要:各種數(shù)字系統(tǒng)的終端設(shè)備都需要對(duì)十進(jìn)制信息進(jìn)行數(shù)碼顯示,而LED和LCD是
2009-01-10 12:52:4551

譯碼器和數(shù)據(jù)選擇器

實(shí)驗(yàn)四  譯碼器和數(shù)據(jù)選擇器一、 實(shí)驗(yàn)?zāi)康氖煜ぜ?b class="flag-6" style="color: red">譯碼器、數(shù)據(jù)選擇器,了解其應(yīng)用二、 實(shí)驗(yàn)器材雙蹤示波器74LS139  2-4線(xiàn)譯碼器    &nb
2009-03-20 17:57:0837

基于FPGA 的(3,6)LDPC 碼并行譯碼器設(shè)計(jì)與實(shí)現(xiàn)

本文基于Altera的FPGA(StatixⅡ-EP2S30F484C3)架構(gòu),實(shí)現(xiàn)了碼率為1/2,幀長(zhǎng)為1008bits的規(guī)則(3,6)LDPC碼譯碼器。所采用的最小-和算法相對(duì)于傳統(tǒng)的和-積算法在不損失譯碼性能的前提下,
2009-06-06 14:12:2031

IEEE 802.16e中LDPC譯碼器的實(shí)現(xiàn)

面向IEEE 802.16e 中 LDPC 碼,分析了各種譯碼算法的譯碼性能,歸一化最小(NMS)算法具備較高譯碼性能和實(shí)現(xiàn)復(fù)雜度低的特點(diǎn)。提出一種基于部分并行方式的LDPC 譯碼器結(jié)構(gòu),可以滿(mǎn)
2009-08-05 08:46:5924

適用于準(zhǔn)循環(huán)LDPC碼譯碼器的新型循環(huán)移位置換結(jié)構(gòu)設(shè)計(jì)

循環(huán)移位置換單元是準(zhǔn)循環(huán)LDPC 碼的部分并行譯碼器的重要組成部分。該文研究并證明了ReverseBanyan 交換結(jié)構(gòu)在實(shí)現(xiàn)信息循環(huán)移位時(shí)各個(gè)基本交換單元的連接規(guī)律?;谠撘?guī)律設(shè)計(jì)了
2009-11-09 14:21:5617

基于Nios的通用編譯碼器的設(shè)計(jì)

本文利用可編程邏輯的靈活性和Nios 的強(qiáng)大處理能力,將多種編譯碼模塊和微處理器模塊集成到一片FPGA 內(nèi)部,方便地實(shí)現(xiàn)了通用編譯碼器的設(shè)計(jì)。由于采用了VHDL 語(yǔ)言,使系統(tǒng)具有可移
2009-11-30 14:27:5622

譯碼器

譯碼器 譯碼是編碼的逆過(guò)程,即將某個(gè)二進(jìn)制翻譯成電路的某種狀態(tài)。實(shí)現(xiàn)譯碼操作的電路稱(chēng)為譯碼器。
2008-09-27 12:59:0612538

數(shù)碼譯碼器的應(yīng)用

數(shù)碼譯碼器的應(yīng)用:譯碼器課件ppt
2008-12-17 14:31:201056

顯示譯碼器的應(yīng)用

顯示譯碼器的應(yīng)用:
2008-12-17 14:35:061260

第十七講 譯碼器

第十七講 譯碼器 6.4.1 二進(jìn)制譯碼器一、二進(jìn)制譯碼器 二、譯碼器CT74LS1381.邏輯圖。2.真值表。3.邏輯功能:4.
2009-03-30 16:22:267525

十六種字符譯碼器

十六種字符譯碼器
2009-04-10 10:11:01633

譯碼器,譯碼器是什么意思

譯碼器,譯碼器是什么意思 譯碼器是組合邏輯電路的一個(gè)重要的器件,其可以分為:變量譯碼和顯示譯碼兩類(lèi)?! ∽兞?b class="flag-6" style="color: red">譯碼一
2010-03-08 16:32:185304

短幀Turbo譯碼器FPGA實(shí)現(xiàn)

  Turbo碼雖然具有優(yōu)異的譯碼性能,但是由于其譯碼復(fù)雜度高,譯碼延時(shí)大等問(wèn)題,嚴(yán)重制約了Turbo碼在高速通信系統(tǒng)中的應(yīng)用。因此,如何設(shè)計(jì)一個(gè)簡(jiǎn)單有效的譯碼器是目前Turb
2010-11-25 10:10:261772

CDMA2000系統(tǒng)中高速維特比譯碼器的設(shè)計(jì)

本文描述了一種可用于CDMA 2000 通信系統(tǒng)的通用高速維特比譯碼器基于FPGA的設(shè)計(jì)與實(shí)現(xiàn)。該維特比譯碼器具有通用性和高速性, 它支持可變碼率、可變幀長(zhǎng)的譯碼。同時(shí)它采用四個(gè)ACS 并
2011-05-14 15:18:1433

顯示譯碼器作用/類(lèi)型

譯碼器的功能是將一種數(shù)碼變換成另一種數(shù)碼。譯碼器的輸出狀態(tài)是其輸入變量各種組合的結(jié)果。譯碼器的輸出既可以用于驅(qū)動(dòng)或控制系統(tǒng)其他部分。
2011-11-16 14:32:386505

基于FPGA的高速RS編譯碼器實(shí)現(xiàn)

本文介紹了 RS[ 255, 223 ]編譯碼器FPGA設(shè)計(jì)和基于線(xiàn)形反饋移位寄存器的編碼器設(shè)計(jì) , 以及由伴隨式計(jì)算、關(guān)鍵方程求解、錢(qián)氏搜索、Forney算法等功能模塊組成的譯碼器。為了實(shí)現(xiàn)簡(jiǎn)單
2012-05-22 10:43:4045

74譯碼器數(shù)據(jù)表

本軟件內(nèi)容為 電子工程師DIY:LED立方 中用到的74譯碼器的相關(guān)資料:74譯碼器數(shù)據(jù)表
2012-06-25 12:00:3199

基于FPGA的RS碼譯碼器的設(shè)計(jì)

介紹了符合CCSDS標(biāo)準(zhǔn)的RS(255,223)碼譯碼器的硬件實(shí)現(xiàn)結(jié)構(gòu)。譯碼器采用8位并行時(shí)域譯碼算法,主要包括了修正后的無(wú)逆BM迭代譯碼算法,錢(qián)搜索算法和Forney算法。采用了三級(jí)流水線(xiàn)結(jié)構(gòu)實(shí)現(xiàn)
2013-01-25 16:43:4668

動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【匯編版】

動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【匯編版】動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【匯編版】動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【匯編版】
2015-12-29 15:51:290

動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【C語(yǔ)言】

動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【C語(yǔ)言】動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【C語(yǔ)言】動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【C語(yǔ)言】動(dòng)態(tài)顯示-譯碼器片選實(shí)現(xiàn)【C語(yǔ)言】
2015-12-29 15:51:360

截短Reed_Solomon碼譯碼器FPGA實(shí)現(xiàn)

截短Reed_Solomon碼譯碼器FPGA實(shí)現(xiàn)
2016-05-11 11:30:1911

譯碼器及其應(yīng)用實(shí)驗(yàn)

譯碼器及其應(yīng)用實(shí)驗(yàn)
2016-12-29 19:01:450

3-8 譯碼器 控制

38譯碼器控制LED燈每次亮一個(gè)
2017-04-21 10:52:3816

基于RS譯碼器設(shè)計(jì)和仿真

為了解決在RS譯碼中存在的譯碼過(guò)程復(fù)雜、譯碼速度慢和專(zhuān)用譯碼器價(jià)格高等問(wèn)題,以RS(255,239)碼為例,采用了基于改進(jìn)的無(wú)求逆運(yùn)算的Berlekamp-Massey( BM)迭代算法。結(jié)合FP
2017-11-07 15:27:0615

基于ASIC的高速Viterbi譯碼器設(shè)計(jì)

針對(duì)無(wú)線(xiàn)通信系統(tǒng)中對(duì)于高頻率、高吞吐量的要求,提出了一種基于ASIC的高速Viterbi譯碼器實(shí)現(xiàn)方案。該譯碼器在約束度小于等于9的情況下,采用全并行結(jié)構(gòu)的加比選模塊。性能分析結(jié)果表明,在SMIC
2017-11-11 17:56:156

基于FPGA 的LDPC 碼編譯碼器聯(lián)合設(shè)計(jì)

該文通過(guò)對(duì)低密度校驗(yàn)(LDPC)碼的編譯碼過(guò)程進(jìn)行分析,提出了一種基于FPGA 的LDPC 碼編譯碼器聯(lián)合設(shè)計(jì)方法,該方法使編碼器和譯碼器共用同一校驗(yàn)計(jì)算電路和復(fù)用相同的RAM 存儲(chǔ)塊,有效減少
2017-11-22 07:34:013928

譯碼器如何實(shí)現(xiàn)擴(kuò)展

通過(guò)正確配置譯碼器的使能輸入端,可以將譯碼器的位數(shù)進(jìn)行擴(kuò)展。例如,實(shí)驗(yàn)室現(xiàn)在只有3線(xiàn)- 8線(xiàn)譯碼器(如74138),要求我{ ]實(shí)現(xiàn)一個(gè)4線(xiàn)-16線(xiàn)的譯碼器。該如何設(shè)計(jì)呢?圖1是其中的一種解決方案
2017-11-23 08:44:5333058

譯碼器的邏輯功能_譯碼器的作用及工作原理

本文首先介紹了譯碼器的定義與譯碼器的分類(lèi),其次介紹了譯碼器的作用和譯碼器的工作原理,最后介紹了譯碼器的邏輯功能。
2018-02-08 14:04:06107559

譯碼器的分類(lèi)和應(yīng)用

本文主要介紹了譯碼器的分類(lèi)和應(yīng)用。譯碼器指的是具有譯碼功能的邏輯電路,譯碼是編碼的逆過(guò)程,它能將二進(jìn)制代碼翻譯成代表某一特定含義的信號(hào)(即電路的某種狀態(tài)),以表示其原來(lái)的含義。譯碼器可以分為:變量
2018-04-04 11:51:1237755

通過(guò)采用FPGA器件設(shè)計(jì)一個(gè)Viterbi譯碼器

可編程邏輯技術(shù)的不斷發(fā)展,其高密度、低功耗、使用靈活、設(shè)計(jì)快速、成本低廉、現(xiàn)場(chǎng)可編程和反復(fù)可編程等特性,使FPGA逐步成為Viterbi譯碼器設(shè)計(jì)的最佳方法。項(xiàng)目目的是用FPGA實(shí)現(xiàn)一個(gè)Viterbi譯碼器
2019-04-24 08:29:002635

使用FPGA實(shí)現(xiàn)800Mbps準(zhǔn)循環(huán)LDPC碼譯碼器的詳細(xì)資料說(shuō)明

為塊準(zhǔn)循環(huán)結(jié)構(gòu),從而能夠并行化處理譯碼算法的行與列操作。使用這個(gè)架構(gòu),我們?cè)赬ilinx Virtex-5 LX330 FPGA上實(shí)現(xiàn)了(8176,7154)有限幾何LDPC碼的譯碼器,在15次迭代的條件下其譯碼吞吐量達(dá)到800Mbps。
2021-01-22 15:08:399

如何使用FPGA實(shí)現(xiàn)高吞吐量低存儲(chǔ)量的LDPC碼譯碼器

針對(duì)一類(lèi)規(guī)則(r,c)-LDPC(low-density parity check)碼,提出了一種基于Turbo譯碼算法的高吞吐量存儲(chǔ)器效率譯碼器。與傳統(tǒng)的和積譯碼算法相比,Turbo譯碼算法對(duì)多個(gè)
2021-02-03 14:46:009

如何使用FPGA實(shí)現(xiàn)結(jié)構(gòu)化LDPC碼的高速編譯碼器

結(jié)構(gòu)化LDPC碼可進(jìn)行相應(yīng)擴(kuò)展通過(guò)對(duì)編譯碼算法,優(yōu)化編譯碼結(jié)構(gòu)進(jìn)行調(diào)整,降低了編譯碼囂硬件實(shí)現(xiàn)中的關(guān)鍵路徑遲延,并采用Xilinx公司的Virtex一4 VLX80 FPGA芯片實(shí)現(xiàn)了一個(gè)碼長(zhǎng)10 240,碼率1/2的非正則結(jié)構(gòu)化LDPC碼編碼器和譯碼器。實(shí)現(xiàn)結(jié)果表明:該編碼器信息吞吐量為1.878 Gb/
2021-03-26 15:58:0012

如何使用FPGA實(shí)現(xiàn)跳頻系統(tǒng)中的Turbo碼譯碼器

給出了跳頻系統(tǒng)中 Turbo碼譯碼器FPGA( field programmable gate array)實(shí)現(xiàn)方案。譯碼器采用了MaxLog-map譯碼算法和模塊化的設(shè)計(jì)方法,可以
2021-04-01 11:21:465

淺談FPGA的指針?lè)答伿降凸腣iterbi譯碼器設(shè)計(jì)

為了滿(mǎn)足復(fù)雜的無(wú)線(xiàn)通信系統(tǒng)功耗以及性能要求,提出并設(shè)計(jì)了一種指針?lè)答伿絍iterbi譯碼器。該譯碼器使相鄰時(shí)刻的
2021-04-28 09:35:411566

基于FPGA的800Mbps準(zhǔn)循環(huán)LDPC碼譯碼器

基于FPGA的800Mbps準(zhǔn)循環(huán)LDPC碼譯碼器
2021-06-08 10:31:3126

關(guān)于Actel 的FPGA譯碼器的VHDL源代碼

關(guān)于Actel 的FPGA譯碼器的VHDL源代碼(通信電源技術(shù)期刊2020年第14期)-關(guān)于Actel 的FPGA譯碼器的VHDL源代碼。適合感興趣的學(xué)習(xí)者學(xué)習(xí),可以提高自己的能力,大家可以多交流哈
2021-09-16 15:18:0110

38譯碼器文件資料

38譯碼器文件資料
2022-06-06 14:23:074

FPGA之三八譯碼器

一聽(tīng)到三八譯碼器這個(gè)東西可能會(huì)感覺(jué)有點(diǎn)熟悉,其實(shí)在STC89C51系列單片機(jī)中,里面就有一個(gè)三八譯碼器,就是一開(kāi)始的流水燈程序,LED0-7這八個(gè)LED!但是怎么在FPGA中實(shí)現(xiàn)三八譯碼器呢?其實(shí)很簡(jiǎn)單。
2023-04-26 15:38:211787

常見(jiàn)譯碼器工作原理介紹

譯碼器的邏輯功能是將每個(gè)輸入的二進(jìn)制代碼譯成對(duì)應(yīng)的輸出的高、低電平信號(hào)。常用的譯碼器電路有二進(jìn)制譯碼器、二--進(jìn)制譯碼器和顯示譯 碼器。譯碼為編碼的逆過(guò)程。它將編碼時(shí)賦予代碼的含義“翻譯”過(guò)來(lái)。實(shí)現(xiàn)
2023-04-26 15:39:404080

二進(jìn)制譯碼器和二-十進(jìn)制譯碼器介紹

輸入:二進(jìn)制代碼,有n個(gè); 輸出:2^n 個(gè)特定信息。 1.譯碼器電路結(jié)構(gòu) 以2線(xiàn)— 4線(xiàn)譯碼器為例說(shuō)明 2線(xiàn)— 4線(xiàn)譯碼器的真值表為:
2023-04-30 16:29:002335

基于FPGA采用模塊化思路設(shè)計(jì)一個(gè)譯碼器

本次實(shí)驗(yàn)的任務(wù)是構(gòu)建一個(gè)3-8譯碼器,且將譯碼結(jié)果通過(guò)小腳丫的LED燈顯示。
2023-06-20 16:10:59692

已全部加載完成