0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > fpga設(shè)計(jì)

fpga設(shè)計(jì)

fpga設(shè)計(jì)

+關(guān)注9人關(guān)注

FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門(mén)陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。

文章:348個(gè) 瀏覽:26452 帖子:90個(gè)

fpga設(shè)計(jì)技術(shù)

按鍵抖動(dòng)消除verilog設(shè)計(jì)

按鍵抖動(dòng)消除verilog設(shè)計(jì)

按鍵作為一種機(jī)械開(kāi)關(guān),在進(jìn)行按鍵操作時(shí),機(jī)械接觸點(diǎn)的彈性及電壓突變等原因,在機(jī)械開(kāi)關(guān)合閉的時(shí)候會(huì)出現(xiàn)電壓抖動(dòng),因此在實(shí)際的應(yīng)用當(dāng)中需要做一定的處理。

2023-04-27 標(biāo)簽:FPGA設(shè)計(jì)觸發(fā)器FPGA芯片 1624 0

FIFO使用及其各條件仿真介紹

FIFO使用及其各條件仿真介紹

FIFO(First In First Out )先入先出存儲(chǔ)器,在FPG設(shè)計(jì)中常用于跨時(shí)鐘域的處理,F(xiàn)IFO可簡(jiǎn)單分為同步FIFO和異步FIFO。

2023-04-25 標(biāo)簽:FPGA設(shè)計(jì)存儲(chǔ)器FIFO存儲(chǔ) 3768 0

如何利用Tcl在Vivado中實(shí)現(xiàn)定制化的FPGA設(shè)計(jì)流程?

如何利用Tcl在Vivado中實(shí)現(xiàn)定制化的FPGA設(shè)計(jì)流程?

FPGA 的設(shè)計(jì)流程簡(jiǎn)單來(lái)講,就是從源代碼到比特流文件的實(shí)現(xiàn)過(guò)程。大體上跟 IC 設(shè)計(jì)流程類(lèi)似,可以分為前端設(shè)計(jì)和后端設(shè)計(jì)。

2023-04-23 標(biāo)簽:FPGA設(shè)計(jì)TCLCache 2092 0

什么是ECO?ECO的應(yīng)用場(chǎng)景和實(shí)現(xiàn)流程

什么是ECO?ECO的應(yīng)用場(chǎng)景和實(shí)現(xiàn)流程

ECO指的是 Engineering Change Order ,即工程變更指令。目的是為了在設(shè)計(jì)的后期,快速靈活地做小范圍修改,從而盡可能的保持已經(jīng)驗(yàn)...

2023-04-23 標(biāo)簽:dspFPGA設(shè)計(jì)IC設(shè)計(jì) 4755 0

速度面積互換設(shè)計(jì)原則簡(jiǎn)析

速度和面積一直都是FPGA設(shè)計(jì)中非常重要的兩個(gè)指標(biāo)。所謂速度,是指整個(gè)工程穩(wěn)定運(yùn)行所能夠達(dá)到的最高時(shí)鐘頻率,它不僅和FPGA內(nèi)部各個(gè)寄存器的建立時(shí)間余量

2023-04-10 標(biāo)簽:FPGA設(shè)計(jì)存儲(chǔ)器時(shí)鐘 1359 0

FPGA原型驗(yàn)證系統(tǒng)的時(shí)鐘資源設(shè)計(jì)

如果SoC設(shè)計(jì)規(guī)模小,在單個(gè)FPGA內(nèi)可以容納,那么只要系統(tǒng)中的FPGA具有所SoC所設(shè)計(jì)需要時(shí)鐘的數(shù)量

2023-04-07 標(biāo)簽:FPGA設(shè)計(jì)分頻器SoC芯片 829 0

限制原型驗(yàn)證系統(tǒng)中FPGA數(shù)量的因素

當(dāng)SoC系統(tǒng)的規(guī)模很大的時(shí)候,單片F(xiàn)PGA驗(yàn)證平臺(tái)已經(jīng)無(wú)法容納這么多容量,我們將采取將SoC設(shè)計(jì)劃分為多個(gè)FPGA的映射。

2023-04-06 標(biāo)簽:FPGA設(shè)計(jì)TDMSoC系統(tǒng) 788 0

FPGA設(shè)計(jì)的五個(gè)主要任務(wù)

FPGA設(shè)計(jì)的五個(gè)主要任務(wù):邏輯綜合、門(mén)級(jí)映射、整體功能邏輯布局、邏輯資源互連布線,最后生成FPGA的bit流

2023-04-06 標(biāo)簽:FPGA設(shè)計(jì)EDA工具SoC芯片 936 0

如何建立適合團(tuán)隊(duì)的FPGA原型驗(yàn)證系統(tǒng)平臺(tái)與技術(shù)?

FPGA原型驗(yàn)證在數(shù)字SoC系統(tǒng)項(xiàng)目當(dāng)中已經(jīng)非常普遍且非常重要,但對(duì)于一個(gè)SoC的項(xiàng)目而言,選擇合適的FPGA原型驗(yàn)證系統(tǒng)顯的格外重要

2023-04-03 標(biāo)簽:FPGA設(shè)計(jì)存儲(chǔ)器RAM 1218 0

ChatGPT能否幫助FPGA設(shè)計(jì)彌補(bǔ)能力的缺失呢?

科技即生產(chǎn)力,最近,OpenAI 發(fā)布了 ChatGPT,在各大論壇和許多網(wǎng)站上受到了廣泛關(guān)注,ChatGPT是由 OpenAI 提出的大型預(yù)訓(xùn)練語(yǔ)言模...

2023-03-25 標(biāo)簽:FPGA設(shè)計(jì)HDLOpenAI 1467 0

FPGA設(shè)計(jì)中的反饋路徑可以怎么優(yōu)化呢?

在FPGA設(shè)計(jì)中,我們可能會(huì)碰到這樣的路徑,如下圖所示。圖中兩個(gè)輸入數(shù)據(jù)為64位,寄存一拍后給到二選一MUX的數(shù)據(jù)輸入端

2023-03-24 標(biāo)簽:FPGA設(shè)計(jì)寄存器Verilog 1418 0

介紹FPGA設(shè)計(jì)中時(shí)序分析的一些基本概念

時(shí)鐘的時(shí)序特性主要分為抖動(dòng)(Jitter)、偏移(Skew)、占空比失真(Duty Cycle Distortion)3點(diǎn)。

2023-03-16 標(biāo)簽:FPGA設(shè)計(jì)RAM時(shí)序分析 1815 0

FPGA基礎(chǔ)設(shè)計(jì)之使用邏輯門(mén)和連續(xù)賦值對(duì)電路建模

使用邏輯門(mén)和連續(xù)賦值對(duì)電路建模,是相對(duì)詳細(xì)的描述硬件的方法。使用過(guò)程塊可以從更高層次的角度描述一個(gè)系統(tǒng),稱(chēng)作行為級(jí)建模(behavirol modeling)。

2023-02-08 標(biāo)簽:FPGA設(shè)計(jì)編碼器Verilog 552 0

介紹一種ISE聯(lián)合仿真轉(zhuǎn)換為Moldelsim單獨(dú)仿真的方法

找到仿真頂層的tb文件,cut_through_top_tb。

2023-01-29 標(biāo)簽:FPGA設(shè)計(jì)asicModelSim 1451 0

利用FPGA的可編程能力以及相關(guān)的工具來(lái)準(zhǔn)確估算功耗

AMD-Xilinx在20nm & 16nm節(jié)點(diǎn)Ultrascale系列器件使用FinFET工藝,F(xiàn)inFET與Planar相比在相同速度條件下...

2022-12-29 標(biāo)簽:FPGA設(shè)計(jì)asic晶體管 1699 0

FPGA設(shè)計(jì)硬件語(yǔ)言Verilog中的參數(shù)化

FPGA 設(shè)計(jì)的硬件語(yǔ)言Verilog中的參數(shù)化有兩種關(guān)鍵詞:define 和 paramerter,參數(shù)化的主要目的是代碼易維護(hù)、易移植和可讀性好。

2022-12-26 標(biāo)簽:FPGA設(shè)計(jì)VerilogC語(yǔ)言 856 0

FPGA設(shè)計(jì)的經(jīng)驗(yàn)技巧

當(dāng)然,任何編程語(yǔ)言的學(xué)習(xí)都不是一朝一夕的事,經(jīng)驗(yàn)技巧的積累都是在點(diǎn)滴中完成,F(xiàn)PGA設(shè)計(jì)也無(wú)例外。下面就以我的切身體會(huì),談?wù)凢PGA設(shè)計(jì)的經(jīng)驗(yàn)技巧。

2022-10-25 標(biāo)簽:FPGA設(shè)計(jì)HDL編程語(yǔ)言 948 0

inout連接不上如何解決呢

inout連接不上如何解決呢

在SpinalHDL 1.7.0版本里,在仿真方面開(kāi)始支持了VCS,這解決了在FPGA設(shè)計(jì)里采用Verilator時(shí)無(wú)法仿真廠商IP的問(wèn)題。

2022-10-18 標(biāo)簽:FPGA設(shè)計(jì)DDRVCS 907 0

利用硬件輔助工具加速芯片前端設(shè)計(jì)的功能性驗(yàn)證階段

軟件仿真(Simulation),F(xiàn)PGA原型驗(yàn)證(FPGA Based Prototyping)和硬件仿真加速(Emulation)這三種有效的功能驗(yàn)...

2022-10-10 標(biāo)簽:FPGA設(shè)計(jì)RTLAHB總線 1566 0

Vivado中設(shè)計(jì)鎖定與增量編譯方法簡(jiǎn)析

增量實(shí)現(xiàn)由兩個(gè)流程構(gòu)成:原始流程和增量流程,如圖所示。其中,原始流程提供網(wǎng)表。

2022-10-10 標(biāo)簽:FPGA設(shè)計(jì)RAMDCP 1561 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國(guó)Lab Center Electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對(duì)生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門(mén)陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會(huì)使編程的可視化和交互性加強(qiáng),編程門(mén)檻降低,即使沒(méi)有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫(xiě)程序。
  • AD10
    AD10
    +關(guān)注
  • 識(shí)別
    識(shí)別
    +關(guān)注
  • FPGA開(kāi)發(fā)板
    FPGA開(kāi)發(fā)板
    +關(guān)注
    FPGA開(kāi)發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來(lái)實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車(chē)工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過(guò)去汽車(chē)電子產(chǎn)品的開(kāi)發(fā)周期是漫長(zhǎng)的,而許多汽車(chē)制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車(chē)。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長(zhǎng)寬,直插,貼片,焊盤(pán)的大小,管腳的長(zhǎng)寬,管腳的間距等)用圖形方式表現(xiàn)出來(lái),以便可以在畫(huà)pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開(kāi)發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫(kù)
    PCB封裝庫(kù)
    +關(guān)注
  • 語(yǔ)音交互
    語(yǔ)音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對(duì)于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿(mǎn)足低成本大批量市場(chǎng)的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對(duì)的市場(chǎng)領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專(zhuān)為電子電路的無(wú)焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱(chēng)特征阻抗,它不是直流電阻,屬于長(zhǎng)線傳輸中的概念。特性阻抗是射頻傳輸線影響無(wú)線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會(huì)阻礙交變電流的流動(dòng),合稱(chēng)阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對(duì)齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫(xiě)數(shù)據(jù)通道、并支持Outstanding傳輸訪問(wèn)和亂序訪問(wèn),并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個(gè)新的高性能協(xié)議。
  • 驅(qū)動(dòng)電流
    驅(qū)動(dòng)電流
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來(lái)為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號(hào)。它通常由石英 晶體振蕩器和與非門(mén)組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性?xún)r(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號(hào),也可以是壓力、溫度、濕度、位移、聲音等非電信號(hào);而AD分辨率指數(shù)字量變化一個(gè)最小量時(shí)模擬信號(hào)的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(hù)(9人)

1114502831 宋從超 唐老鴨 taylors liult03 阮如媛 藍(lán)天之約lc undefined_c1a 三四二一

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語(yǔ)言教程專(zhuān)題

電機(jī)控制 DSP 氮化鎵 功率放大器 ChatGPT 自動(dòng)駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無(wú)刷電機(jī) FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機(jī) PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機(jī) SPWM 充電樁 IPM 機(jī)器視覺(jué) 無(wú)人機(jī) 三菱電機(jī) ST
伺服電機(jī) SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國(guó)民技術(shù) Microchip
瑞薩 沁恒股份 全志 國(guó)民技術(shù) 瑞芯微 兆易創(chuàng)新 芯海科技 Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚(yáng)興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費(fèi)諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂(lè)鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機(jī) 金升陽(yáng) 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長(zhǎng)晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運(yùn)算放大器 差動(dòng)放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變?cè)鲆娣糯笃? 隔離放大器
時(shí)鐘 時(shí)鐘振蕩器 時(shí)鐘發(fā)生器 時(shí)鐘緩沖器 定時(shí)器 寄存器 實(shí)時(shí)時(shí)鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚(yáng)聲器放大器 音頻轉(zhuǎn)換器 音頻開(kāi)關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開(kāi)關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計(jì) 溫度傳感器 壓力傳感器
電機(jī)驅(qū)動(dòng)器 步進(jìn)驅(qū)動(dòng)器 TWS BLDC 無(wú)刷直流驅(qū)動(dòng)器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開(kāi)關(guān)電源 步進(jìn)電機(jī) 無(wú)線充電 LabVIEW EMC PLC OLED 單片機(jī)
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語(yǔ)音識(shí)別 萬(wàn)用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門(mén)狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機(jī)器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹(shù)莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計(jì):PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實(shí)戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號(hào)完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計(jì)教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語(yǔ)言基礎(chǔ)教程,c語(yǔ)言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點(diǎn)原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語(yǔ)言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開(kāi)發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語(yǔ)言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開(kāi)源硬件專(zhuān)題