0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > fpga設(shè)計(jì)

fpga設(shè)計(jì)

fpga設(shè)計(jì)

+關(guān)注9人關(guān)注

FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門(mén)陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。

文章:348個(gè) 瀏覽:26452 帖子:90個(gè)

fpga設(shè)計(jì)技術(shù)

認(rèn)識(shí)以太網(wǎng)幀的整個(gè)結(jié)構(gòu)

認(rèn)識(shí)以太網(wǎng)幀的整個(gè)結(jié)構(gòu)

當(dāng)你需要使用千兆網(wǎng)發(fā)送數(shù)據(jù)的時(shí)候,你需要先傳輸8字節(jié)的前導(dǎo)碼,也就是7個(gè)字節(jié)的0xAA和1個(gè)字節(jié)的0xAB

2023-06-19 標(biāo)簽:FPGA設(shè)計(jì)以太網(wǎng)Mac 1487 0

FIFO IP核報(bào)Memory Collision Error on RAMB36E1解決方案

FIFO IP核報(bào)Memory Collision Error on RAMB36E1解決方案

以前很少用到仿真,這次在仿真的過(guò)程中,遇到了某個(gè)警告,于是轉(zhuǎn)過(guò)頭又去研究了FIFO中的Safety Circuit的作用。

2023-06-19 標(biāo)簽:FPGA設(shè)計(jì)RAMFIFO存儲(chǔ) 1525 0

手把手用Verilog實(shí)現(xiàn)FIR濾波器

手把手用Verilog實(shí)現(xiàn)FIR濾波器

首先需要把FIR最基本的結(jié)構(gòu)實(shí)現(xiàn),也就是每個(gè)FIR抽頭的數(shù)據(jù)與其抽頭系數(shù)相乘這個(gè)操作。由頂層文件對(duì)這個(gè)基本模塊進(jìn)行多次調(diào)用。

2023-06-19 標(biāo)簽:轉(zhuǎn)換器FPGA設(shè)計(jì)FIR 3296 0

為保證數(shù)字電路時(shí)序裕量所做的努力

為保證數(shù)字電路時(shí)序裕量所做的努力

由于以太網(wǎng)測(cè)試使用的開(kāi)發(fā)板是淘寶購(gòu)買(mǎi)的某款開(kāi)發(fā)板,開(kāi)發(fā)人員在電路設(shè)計(jì)時(shí)沒(méi)有考慮到將以太網(wǎng)芯片的接收時(shí)鐘、發(fā)送時(shí)鐘通過(guò)FPGA的專(zhuān)用時(shí)鐘管腳接入到到全局時(shí)鐘網(wǎng)絡(luò)

2023-06-19 標(biāo)簽:FPGA設(shè)計(jì)以太網(wǎng)寄存器 854 0

使用XDMA測(cè)試FPGA與PC通信的過(guò)程

使用XDMA測(cè)試FPGA與PC通信的過(guò)程

Xilinx的Vivado中,有三種方式可以實(shí)現(xiàn)PCIE功能

2023-06-19 標(biāo)簽:FPGA設(shè)計(jì)dmaVivado 3294 0

FPGA定點(diǎn)數(shù)截位的基本準(zhǔn)則

FPGA定點(diǎn)數(shù)截位的基本準(zhǔn)則

FPGA內(nèi)部表示正負(fù)數(shù),小數(shù)的規(guī)則。兩者相比之下,定點(diǎn)數(shù)實(shí)現(xiàn)簡(jiǎn)單,表達(dá)更為直觀,所以在很多時(shí)候FPGA通常使用定點(diǎn)數(shù)表示小數(shù)。

2023-06-19 標(biāo)簽:fpgaFPGA設(shè)計(jì)計(jì)算機(jī) 1375 0

波形系統(tǒng)三大關(guān)鍵點(diǎn)解析

波形系統(tǒng)三大關(guān)鍵點(diǎn)解析

在芯片功能驗(yàn)證中,仿真波形一直是調(diào)試的重要手段。通過(guò)觀測(cè)分析波形,工程師可以推斷代碼是否正常運(yùn)行,電路的功能是否正確,設(shè)計(jì)是否滿足預(yù)期。

2023-06-18 標(biāo)簽:FPGA設(shè)計(jì)EDA工具VCD 819 0

Vivado Schematic中的實(shí)線和虛線有什么區(qū)別?

Vivado Schematic中的實(shí)線和虛線有什么區(qū)別?

Vivado Schematic中的實(shí)線和虛線有什么區(qū)別?

2023-06-16 標(biāo)簽:FPGA設(shè)計(jì)Vivado 1068 0

FPGA浮點(diǎn)數(shù)表示及計(jì)算機(jī)數(shù)值表示規(guī)則

FPGA浮點(diǎn)數(shù)表示及計(jì)算機(jī)數(shù)值表示規(guī)則

定點(diǎn)數(shù)硬件實(shí)現(xiàn)簡(jiǎn)單,但表示的范圍有限,且部分的小數(shù)運(yùn)算IP核只支持浮點(diǎn)數(shù)運(yùn)算,因此這里還需要提到浮點(diǎn)數(shù)的相關(guān)內(nèi)容。

2023-06-16 標(biāo)簽:轉(zhuǎn)換器FPGA設(shè)計(jì)計(jì)算機(jī) 1316 0

m序列的verilog實(shí)現(xiàn)以及使能信號(hào)解決跨時(shí)終域問(wèn)題

m序列的verilog實(shí)現(xiàn)以及使能信號(hào)解決跨時(shí)終域問(wèn)題

根據(jù)《通信原理》一書(shū)可知,m序列是最長(zhǎng)線性反饋移位寄存器的簡(jiǎn)稱(chēng),它產(chǎn)生的偽隨機(jī)序列的周期與其反饋移存器級(jí)數(shù)有關(guān);

2023-06-16 標(biāo)簽:FPGA設(shè)計(jì)移位寄存器BPSK 2113 0

使用OSERDES發(fā)送高速串行數(shù)據(jù)

使用OSERDES發(fā)送高速串行數(shù)據(jù)

OSERDES實(shí)現(xiàn)并串轉(zhuǎn)換,只需要管發(fā)送并不需要管接收到的數(shù)據(jù)如何,所以它的操作相對(duì)于ISERDES來(lái)說(shuō)簡(jiǎn)單;

2023-06-16 標(biāo)簽:FPGA設(shè)計(jì)DDRSDR 1134 0

使用ISERDES接收高速串行數(shù)據(jù)

使用ISERDES接收高速串行數(shù)據(jù)

高速信號(hào)傳輸過(guò)程中,并行傳輸因?yàn)榫€路同步難,抗干擾性差等缺點(diǎn)逐漸被串行技術(shù)取代;通過(guò)提高傳輸速率的方法,串行傳輸也可以實(shí)現(xiàn)很高的傳輸速度。

2023-06-16 標(biāo)簽:轉(zhuǎn)換器FPGA設(shè)計(jì)DDR 1911 0

Idelay對(duì)時(shí)序的補(bǔ)救

Idelay對(duì)時(shí)序的補(bǔ)救

在高速信號(hào)采集的過(guò)程中,經(jīng)常會(huì)因?yàn)殡娐吩O(shè)計(jì)或者其他原因,原本設(shè)計(jì)好對(duì)應(yīng)的data_clk與data經(jīng)過(guò)線路傳輸之后在接收端時(shí)序上不能很好的對(duì)應(yīng),這可能會(huì)...

2023-06-16 標(biāo)簽:FPGA設(shè)計(jì)RTL串行通信 1971 0

LVDS差分信號(hào)輸入輸出的處理方法

LVDS差分信號(hào)輸入輸出的處理方法

最近調(diào)試芯片遇到一個(gè)選擇題,需要決定數(shù)據(jù)接口的接口標(biāo)準(zhǔn),是選用LVDS差分接口還是CMOS單端接口。

2023-06-16 標(biāo)簽:CMOSFPGA設(shè)計(jì)差分信號(hào) 2343 0

帶你認(rèn)識(shí)濾波器及FIR濾波器設(shè)計(jì)

帶你認(rèn)識(shí)濾波器及FIR濾波器設(shè)計(jì)

濾波器是一種用來(lái)減少,消除干擾的電器部件,有對(duì)特定頻率的頻點(diǎn)或該頻點(diǎn)以外的頻率信號(hào)進(jìn)行有效濾除,從而實(shí)現(xiàn)消除干擾、獲取特定頻率信號(hào)的功能。

2023-06-15 標(biāo)簽:FPGA設(shè)計(jì)數(shù)字濾波器模擬濾波器 3679 0

FIR及IIR濾波器的FPGA設(shè)計(jì)實(shí)現(xiàn)方案

FIR及IIR濾波器的FPGA設(shè)計(jì)實(shí)現(xiàn)方案

這種方法是通過(guò)將模擬濾波器頻率特性H(s)反拉氏變換為h(t),再將h(t)等間隔抽樣成h(n)后,對(duì)h(n)取Z變換求得H(z),即得到了數(shù)字濾波器的...

2023-06-15 標(biāo)簽:FPGA設(shè)計(jì)數(shù)字濾波器FIR 2015 0

怎么使用MATLAB進(jìn)行O-RAN小基站建模和仿真?

怎么使用MATLAB進(jìn)行O-RAN小基站建模和仿真?

開(kāi)放式無(wú)線接入網(wǎng)(Open Radio Access Network,簡(jiǎn)稱(chēng) O-RAN)是一種無(wú)線接入網(wǎng) (RAN)。

2023-06-14 標(biāo)簽:matlabFPGA設(shè)計(jì)PHY 1520 0

基于FPGA的深度學(xué)習(xí)CNN加速器設(shè)計(jì)方案

基于FPGA的深度學(xué)習(xí)CNN加速器設(shè)計(jì)方案

因?yàn)镃NN的特有計(jì)算模式,通用處理器對(duì)于CNN實(shí)現(xiàn)效率并不高,不能滿足性能要求。 因此,近來(lái)已經(jīng)提出了基于FPGA,GPU甚至ASIC設(shè)計(jì)的各種加速器來(lái)...

2023-06-14 標(biāo)簽:處理器FPGA設(shè)計(jì)存儲(chǔ)器 2199 0

一個(gè)簡(jiǎn)單的RTL同步FIFO設(shè)計(jì)

FIFO 是FPGA設(shè)計(jì)中最有用的模塊之一。FIFO 在模塊之間提供簡(jiǎn)單的握手和同步機(jī)制,是設(shè)計(jì)人員將數(shù)據(jù)從一個(gè)模塊傳輸?shù)搅硪粋€(gè)模塊的常用選擇。

2023-06-14 標(biāo)簽:FPGA設(shè)計(jì)寄存器VHDL語(yǔ)言 418 0

FPGA時(shí)序約束之衍生時(shí)鐘約束和時(shí)鐘分組約束

在FPGA設(shè)計(jì)中,時(shí)序約束對(duì)于電路性能和可靠性非常重要。在上一篇的文章中,已經(jīng)詳細(xì)介紹了FPGA時(shí)序約束的主時(shí)鐘約束。

2023-06-12 標(biāo)簽:fpgaFPGA設(shè)計(jì)pll 2468 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國(guó)Lab Center Electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對(duì)生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門(mén)陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會(huì)使編程的可視化和交互性加強(qiáng),編程門(mén)檻降低,即使沒(méi)有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫(xiě)程序。
  • AD10
    AD10
    +關(guān)注
  • 識(shí)別
    識(shí)別
    +關(guān)注
  • FPGA開(kāi)發(fā)板
    FPGA開(kāi)發(fā)板
    +關(guān)注
    FPGA開(kāi)發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來(lái)實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車(chē)工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過(guò)去汽車(chē)電子產(chǎn)品的開(kāi)發(fā)周期是漫長(zhǎng)的,而許多汽車(chē)制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車(chē)。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長(zhǎng)寬,直插,貼片,焊盤(pán)的大小,管腳的長(zhǎng)寬,管腳的間距等)用圖形方式表現(xiàn)出來(lái),以便可以在畫(huà)pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開(kāi)發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫(kù)
    PCB封裝庫(kù)
    +關(guān)注
  • 語(yǔ)音交互
    語(yǔ)音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對(duì)于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場(chǎng)的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對(duì)的市場(chǎng)領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專(zhuān)為電子電路的無(wú)焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱(chēng)特征阻抗,它不是直流電阻,屬于長(zhǎng)線傳輸中的概念。特性阻抗是射頻傳輸線影響無(wú)線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會(huì)阻礙交變電流的流動(dòng),合稱(chēng)阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對(duì)齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫(xiě)數(shù)據(jù)通道、并支持Outstanding傳輸訪問(wèn)和亂序訪問(wèn),并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個(gè)新的高性能協(xié)議。
  • 驅(qū)動(dòng)電流
    驅(qū)動(dòng)電流
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來(lái)為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號(hào)。它通常由石英 晶體振蕩器和與非門(mén)組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性價(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號(hào),也可以是壓力、溫度、濕度、位移、聲音等非電信號(hào);而AD分辨率指數(shù)字量變化一個(gè)最小量時(shí)模擬信號(hào)的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(9人)

1114502831 宋從超 唐老鴨 taylors liult03 阮如媛 藍(lán)天之約lc undefined_c1a 三四二一

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語(yǔ)言教程專(zhuān)題

電機(jī)控制 DSP 氮化鎵 功率放大器 ChatGPT 自動(dòng)駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無(wú)刷電機(jī) FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機(jī) PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機(jī) SPWM 充電樁 IPM 機(jī)器視覺(jué) 無(wú)人機(jī) 三菱電機(jī) ST
伺服電機(jī) SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國(guó)民技術(shù) Microchip
瑞薩 沁恒股份 全志 國(guó)民技術(shù) 瑞芯微 兆易創(chuàng)新 芯??萍?/a> Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚(yáng)興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費(fèi)諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂(lè)鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機(jī) 金升陽(yáng) 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長(zhǎng)晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運(yùn)算放大器 差動(dòng)放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變?cè)鲆娣糯笃? 隔離放大器
時(shí)鐘 時(shí)鐘振蕩器 時(shí)鐘發(fā)生器 時(shí)鐘緩沖器 定時(shí)器 寄存器 實(shí)時(shí)時(shí)鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚(yáng)聲器放大器 音頻轉(zhuǎn)換器 音頻開(kāi)關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開(kāi)關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計(jì) 溫度傳感器 壓力傳感器
電機(jī)驅(qū)動(dòng)器 步進(jìn)驅(qū)動(dòng)器 TWS BLDC 無(wú)刷直流驅(qū)動(dòng)器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開(kāi)關(guān)電源 步進(jìn)電機(jī) 無(wú)線充電 LabVIEW EMC PLC OLED 單片機(jī)
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語(yǔ)音識(shí)別 萬(wàn)用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門(mén)狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機(jī)器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹(shù)莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計(jì):PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實(shí)戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號(hào)完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計(jì)教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語(yǔ)言基礎(chǔ)教程,c語(yǔ)言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點(diǎn)原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語(yǔ)言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開(kāi)發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語(yǔ)言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開(kāi)源硬件專(zhuān)題