電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>業(yè)界新聞>廠商新聞>聯(lián)電攜手新思科技研發(fā)28奈米制程DesignWare IP

聯(lián)電攜手新思科技研發(fā)28奈米制程DesignWare IP

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

思科攜手英偉達,全新AI解決方案引領萬物智能時代

思科技(Synopsys)今日在硅谷圣克拉拉會議中心隆重召開了年度“新思科技全球用戶大會(SNUG)”。
2024-03-22 10:34:0977

思科攜手英偉達:基于加速計算、生成式AI和Omniverse釋放下一代EDA潛能

將雙方數(shù)十年的合作深入擴展到新思科技EDA全套技術(shù)棧 摘要: 新思科攜手英偉達,將其領先的AI驅(qū)動型電子設計自動化(EDA)全套技術(shù)棧部署于英偉達GH200 Grace Hopper超級芯片平臺
2024-03-20 13:43:2744

思科技推出業(yè)界首個1.6T以太網(wǎng)IP整體解決方案

思科技(Synopsys)日前重磅推出了業(yè)界首個1.6T以太網(wǎng)IP整體解決方案,這一創(chuàng)新性的方案在數(shù)據(jù)密集型人工智能(AI)工作負載的處理上,顯著提升了帶寬和吞吐量,為行業(yè)樹立了新的技術(shù)標桿。
2024-03-19 10:24:5986

思科技正式推出業(yè)界首個1.6T以太網(wǎng)IP整體解決方案

思科技1.6T以太網(wǎng)IP整體解決方案現(xiàn)已上市并被多家客戶用,與現(xiàn)有實現(xiàn)方案相比,其互連功耗最多可降低50%
2024-03-19 10:23:0696

蘋果研發(fā)搭載M4芯片的MacBook Pro

現(xiàn)行MacBook Pro于2023年10月首次問世,搭載M3芯片,該芯片采用與iPhone 15 Pro中A17 Pro同款的3納米制程工藝。此外,Apple還推出性能更高的M3 Pro及M3 Max用于MacBook Pro高配版。
2024-03-13 09:24:29200

思科攜手英特爾加速Intel 18A工藝下高性能芯片設計

思科技數(shù)字和模擬 EDA 流程經(jīng)過認證和優(yōu)化,針對Intel 18A工藝實現(xiàn)功耗、性能和面積目標
2024-03-05 17:23:44237

思科技與英特爾深化合作,以新思科IP和經(jīng)Intel 18A工藝認證的EDA流程加速先進芯片設計

?芯片制造商與EDA解決方案和廣泛的IP組合緊密合作, 能夠提升產(chǎn)品性能并加快上市時間 摘要: 新思科技數(shù)字和模擬EDA流程經(jīng)過認證和優(yōu)化,針對Intel 18A工藝實現(xiàn)功耗、性能和面積目標
2024-03-05 10:16:5983

英特爾CEO稱公司全力押注18A制程

據(jù)悉,18A制程作為英特爾推動至技術(shù)領先地位的第五個階段,盡管未采用1.8納米制造工藝,但宣稱性能及晶體管密度均可與競爭對手的1.8納米工藝相媲美。
2024-03-01 16:14:47133

英特爾宣布推進1.4納米制程

,臺積電和三星已經(jīng)推出3納米制程芯片,而英特爾則剛剛實現(xiàn)了5納米制程。然而,這一決定表明英特爾有意在制程技術(shù)領域迎頭趕上,計劃在未來幾年內(nèi)推出更為先進的1.4納米芯片。這一制程技術(shù)的推進將是英特爾為實現(xiàn)2025年之前進入2納米芯片生產(chǎn)
2024-02-23 11:23:04172

臺積電領跑半導體市場:2納米制程領先行業(yè),3納米產(chǎn)能飆升

臺積電預期,目前營收總額約 70% 是來自 16 納米以下先進制程技術(shù),隨著 3 納米和 2 納米制程技術(shù)的貢獻在未來幾年漸增,比重將會繼續(xù)增加,預估未來成熟制程技術(shù)占營收總額將不超過 2 成。
2024-02-21 16:33:23320

思科計劃裁員5%,達數(shù)千人

2月14日,思科(Cisco)宣布最新一季財報,同時表示,作為全公司重組的一部分,計劃裁員5%,達數(shù)千人。主要原因是客戶仍處「去庫存」階段,導致思科保守看待營運展望。
2024-02-19 14:43:36408

臺積電熊本廠JASM將在2月24日舉辦開幕典禮

早在2021年,臺積電宣布與索尼、電裝聯(lián)手在日本熊本市建立一座晶圓廠,預計每月生產(chǎn)能力達到5.5萬片,總投資高達1.1萬億日元。這座工廠主要生產(chǎn)12/16、22/28米制程產(chǎn)品。
2024-02-18 13:47:15379

三星2納米制程技術(shù)獲AI芯片訂單,挑戰(zhàn)臺積領先地位

行業(yè)芯事行業(yè)資訊
北京中科同志科技股份有限公司發(fā)布于 2024-02-06 09:49:30

臺積回應1納米制程廠選址傳聞:不排除任何可能性

行業(yè)芯事行業(yè)資訊
北京中科同志科技股份有限公司發(fā)布于 2024-01-23 08:54:45

三星啟動二代3納米制程試制,瞄準60%良率

臺積電是全球領先的半導體制造企業(yè),也是三星的主要競爭對手。雙方都在積極爭取客戶,并計劃在上半年實現(xiàn)第二代3納米GAA架構(gòu)制程的大規(guī)模量產(chǎn)。
2024-01-22 15:53:26324

臺積電2023年Q4營收穩(wěn)健,先進制程營收占比高達67%

按工藝來看,3 納米制程產(chǎn)品占當期銷售額的 15%,5 納米產(chǎn)品占比達到了 35%,而 7 納米產(chǎn)品則占據(jù)了 17%;整體上看,先進制程(包括 7 納米及以上)銷售額占總銷售額的比重達到了 67%。
2024-01-18 14:51:58389

思科技計劃收購Ansys,350億美元!

思科技和Ansys近日宣布已達成最終協(xié)議,新思科技將收購Ansys。該交易預計于2025年上半年完成,并需獲得股東和監(jiān)管部門的批準。
2024-01-17 17:00:13557

臺積電晶圓廠產(chǎn)能利用率將全面提高

消息來源表示,TSMC 8英寸及12英寸晶圓工廠的利用率已分別回升至70-80%和80%。尤其值得注意的是,28米制程的利用率已重返80%的常態(tài)范圍;而7/6納米與5/4納米制程的利用率更分別達到75%以及接近飽和狀態(tài)。
2024-01-17 13:56:19187

思科攜手臺積公司推出“從架構(gòu)探索到簽核” 統(tǒng)一設計平臺

思科技3DIC Compiler集成了3Dblox 2.0標準,可用于異構(gòu)集成和“從架構(gòu)探索到簽核”的完整解決方案。
2024-01-12 13:40:50232

半導體IP依附性強,國產(chǎn)IP產(chǎn)業(yè)競爭力如何?

。換言之,IP要想發(fā)揮作用,需要配合EDA工具,最終作用于芯片設計中,有一定的依附性。 ? 根據(jù)半導體IP研究機構(gòu)IPnest的統(tǒng)計數(shù)據(jù),2022年全球設計IP同比增長20.2%,達到了66.7億美元。其中,Arm公司獨占四成以上的市場份額。過去幾年,EDA公司新思科
2024-01-10 00:11:001167

中國半導體廠商集體發(fā)力28nm及更成熟制程

受美國對高端設備出口限制影響,中國大陸轉(zhuǎn)向成熟制程28納米及以上)領域,預計2027年在此類制程上產(chǎn)能達到39%。
2023-12-15 14:56:35337

思科路由器型號怎么查

思科(Cisco)是全球領先的網(wǎng)絡設備制造商,提供各種類型的路由器。要查找思科路由器的型號,可以通過以下幾種途徑進行查詢: 官方網(wǎng)站:思科的官方網(wǎng)站是最可靠且最直接的來源。在思科的官方網(wǎng)站上,你可以
2023-12-15 11:07:01514

Centripetal Networks訴思科訴訟敗訴,法官判定思科未侵權(quán)

摩根隨后離世,上訴法院指派新法官審理此案。當?shù)貢r間本周一,美國地區(qū)法官伊麗莎白·哈內(nèi)斯(Elizabeth Hanes)宣布思科并未侵犯相關(guān)專利。Centripetal與思科方面均未能立即對此事作出評論。
2023-12-13 09:33:38242

思科攜手Ansys和三星共同開發(fā)14LPU工藝的全新射頻集成電路設計

思科技(Synopsy)近日宣布,攜手Ansys 、三星半導體晶圓代工(以下簡稱“三星”)共同開發(fā)了面向三星14LPU工藝的全新射頻集成電路(RFIC)設計參考流程
2023-12-11 18:25:55451

思科技加入“Arm全面設計”生態(tài)系統(tǒng)并提供IP和芯片設計服務

思科技加入“Arm全面設計”(Arm Total Design)生態(tài)系統(tǒng)并提供IP和芯片設計服務,通過Synopsys.ai全棧式AI驅(qū)動型EDA全面解決方案和硬件輔助驗證產(chǎn)品組合降低定制SoC
2023-11-17 09:24:09384

因訂單放緩 思科下調(diào)年度營收及利潤預期

思科(cisco)最近公布的2024會計年度第一季度(截至2023年10月28日)財務報告顯示,分析師預測的銷售額為146.2%,銷售額達到147億美元,增加8%。
2023-11-16 14:57:24203

思科技于2023臺積公司OIP生態(tài)系統(tǒng)論壇上榮獲多項年度合作伙伴大獎

。 新思科技接口IP組合已在臺積公司N3E工藝上實現(xiàn)硅片成功,能夠降低集成風險,加快產(chǎn)品上市時間,并針對臺積公司N3P工藝提供一條快速開發(fā)通道。 集成3Dblox 2.0標準的全面多裸晶芯片系統(tǒng)解決方案提高了快速異構(gòu)集成的生產(chǎn)率。 新思科攜手A
2023-11-14 14:18:45118

思科攜手合作伙伴開發(fā)針對臺積公司N4P工藝的射頻設計參考流程

(RF)設計和接口IP五項大獎。新思科技與臺積公司長期穩(wěn)固合作,持續(xù)提供經(jīng)過驗證的解決方案,包括由Synopsys.ai全棧式AI驅(qū)動型EDA解決方案支持的認證設計流程,幫助共同客戶加快創(chuàng)新型人工智能
2023-11-14 10:31:46375

思科技重磅發(fā)布全新RISC-V處理器系列擴大ARC IP組合

思科技全新32位和64位ARC-V處理器IP建立在其數(shù)十年的處理器開發(fā)經(jīng)驗之上,為設計者提供更廣泛的RISC-V IP選擇空間
2023-11-10 12:50:33402

思科技重磅發(fā)布全新RISC-V處理器系列,進一步擴大ARC處理器IP組合

面向汽車嵌入式軟件、存儲和物聯(lián)網(wǎng)應用的新一代ARC-V處理器 摘要 : 新思科技全新32位和64位ARC-V處理器IP建立在其數(shù)十年的處理器開發(fā)經(jīng)驗之上,為開發(fā)者提供更廣泛的RISC-V IP選擇
2023-11-10 10:59:33683

思科技完成數(shù)千萬元人民幣的Pre-A輪融資

據(jù)麥姆斯咨詢報道,近期,上海迷思科技有限公司(簡稱“迷思科技”)完成了數(shù)千萬元人民幣的Pre-A輪融資,本輪融資由元禾璞華、力合金控、紫明芯投、山東新港電子參與投資。 迷思科技是一家專注于MEMS
2023-11-10 09:13:44389

思科技可互操作工藝設計套件助力開發(fā)者快速上手模擬設計

模擬設計 新思科攜手Ansys 和 Keysight 共同推出全新射頻設計參考流程,能夠為現(xiàn)代射頻集成電路設計提供完整解決方案 新思科技(Synopsys)近日宣布,其模擬設計遷移流程已應用于臺積公司N4P、N3E 和 N2 在內(nèi)的多項先進工藝。作為新思科技定制設計系列產(chǎn)品
2023-11-09 10:59:40435

如何將stm32的控制程序轉(zhuǎn)成51的程序?

如何將stm32的控制程序轉(zhuǎn)成51的程序,用的是意法的傳感器,給的控制程序也是32的
2023-11-03 08:07:08

中國看好成熟制程,積極擴增成熟制程產(chǎn)能

TrendForce統(tǒng)計,28納米以上的成熟制程及16納米以下的先進制程,2023~2027年全球晶圓代工產(chǎn)能比重約維持7比3。其中,中國大陸因積極擴增成熟制程產(chǎn)能,全球占比估自29%增至33%,臺灣則估自49%降至42%。
2023-11-02 16:04:0796

全球首款!蘋果發(fā)布3納米制程處理器M3系列

,從個人計算機應用來看,英特爾最近推出的第14代處理器采用自家4納米制程,目前只能用在桌機尚未導入筆電。相較之下,蘋果發(fā)表全球第一款3納米制程處理器M3系列。 臺積電向來不評論訂單與客戶動態(tài)。外傳蘋果包下臺積電3納米產(chǎn)能至少一年
2023-11-02 09:32:47278

思科技與Arm持續(xù)深化合作,加速先進節(jié)點定制芯片設計

全球領先的新思科IP解決方案和AI驅(qū)動型EDA全面解決方案與“Arm全面設計”相結(jié)合,大幅加速復雜SoC設計的上市時間 摘要: 新思科技加入“Arm全面設計”(Arm Total Design
2023-11-01 10:47:37109

思科技推出業(yè)界領先的廣泛車規(guī)級接口IP和基礎IP產(chǎn)品組合

面向臺積公司N5A工藝的新思科IP產(chǎn)品在汽車溫度等級2級下符合 AEC-Q100 認證,確保了系統(tǒng)級芯片(SoC)的長期運行可靠性。 新思科IP產(chǎn)品在隨機硬件故障評估下符合 ISO 26262
2023-10-31 09:18:44708

請問protues如何與keil聯(lián)調(diào)?

protues如何與keil 聯(lián)調(diào)?
2023-10-25 07:22:44

思科技面向臺積公司N5A工藝技術(shù)推出領先的廣泛車規(guī)級IP組合

思科技(Synopsys, Inc.)近日宣布,面向臺積公司N5A工藝推出業(yè)界領先的廣泛車規(guī)級接口IP和基礎IP產(chǎn)品組合,攜手臺積公司推動下一代“軟件定義汽車”發(fā)展,滿足汽車系統(tǒng)級芯片(SoC)的長期可靠性和高性能計算需求。
2023-10-24 17:24:56505

思科攜手臺積公司加速N2工藝下的SoC創(chuàng)新

多次成功流片,模擬設計流程也正應用于多個設計項目。這些設計流程在AI驅(qū)動型Synopsys.ai 全棧式EDA解決方案的支持下,大大提升了生產(chǎn)率。新思科技針對臺積公司N2工藝開發(fā)的基礎IP和接口IP
2023-10-24 16:42:06475

思科技面向臺積公司N5A工藝技術(shù)推出業(yè)內(nèi)領先的廣泛車規(guī)級IP組合

思科技接口和基礎 IP 組合已獲多家全球領先企業(yè)采用,可為 ADAS 系統(tǒng)級芯片提供高可靠性保障 摘要: 面向臺積公司N5A工藝的新思科IP產(chǎn)品在汽車溫度等級2級下符合 AEC-Q100 認證
2023-10-23 15:54:07690

思科攜手臺積公司加速2nm工藝創(chuàng)新,為先進SoC設計提供經(jīng)認證的數(shù)字和模擬設計流程

多個設計流程在臺積公司N2工藝上成功完成測試流片;多款IP產(chǎn)品已進入開發(fā)進程,不斷加快產(chǎn)品上市時間 ? 摘要: 新思科技經(jīng)認證的數(shù)字和模擬設計流程可提高高性能計算、移動和AI芯片的產(chǎn)品質(zhì)量
2023-10-19 11:44:22104

思科技成功實現(xiàn)與英特爾PCIe 6.0測試芯片的互操作性

思科技PCIe 6.0 IP與英特爾 PCIe 6.0測試芯片實現(xiàn)互操作 在64GT/s 高速連接下成功驗證互操作性,降低高性能計算SoC的集成風險 新思科技近日宣布,新思科技PCI
2023-10-16 09:22:56446

思科技PCIe 6.0 IP與英特爾PCIe 6.0測試芯片實現(xiàn)互操作

:SNPS)近日宣布,新思科技PCI Express(PCIe)6.0 IP在端到端64GT/s的連接下,成功實現(xiàn)與英特爾PCIe 6.0測試芯片的互操作性。這一全新里程碑也將保證,在未來無論是集成
2023-10-12 15:11:45129

是德科技和Ansys攜手為4nm射頻FinFET制程打造全新參考流程

新參考流程采用臺積電 N4PRF 制程,提供了開放、高效的射頻設計解決方案
2023-10-10 18:22:21457

臺積電3nm奪高通5G大單!

高通去年在驍龍高峰會公布年度5G旗艦晶片“驍龍8 Gen 2”是由臺積電4納米制程打造;前一代高通“驍龍 8 Gen 1”則由三星4納米制程生產(chǎn),之后傳出散熱等問題,高通緊急推出升級版“驍龍 8+ Gen 1”,并改用臺積電4納米制程。
2023-09-26 17:17:09943

Cadence擴大TSMC N3E制程IP產(chǎn)品組合,推出新一代224G-LR SerDes IP,助力超大規(guī)模SoC設計

內(nèi)容提要 ● ?經(jīng)過驗證的接口 IP,可顯著提升 TSMC N3E 制程節(jié)點的性能和能效 ● ?224G-LR SerDes PHY IP 在 TSMC N3E 制程上實現(xiàn)一次性流片成功
2023-09-26 10:10:01320

思科技3DIC Compiler獲得三星多裸晶芯集成工藝流程的認證

思科技經(jīng)認證的多裸晶芯片系統(tǒng)設計參考流程和安全的Die-to-Die IP解決方案,加速了三星SF 5/4/3工藝和I-Cube及X-Cube技術(shù)的設計和流片成功。 新思科技3DIC
2023-09-14 09:38:28838

英特爾和新思科技深化合作,提供基于英特爾先進制程節(jié)點的領先IP

合作伙伴關(guān)系。 英特爾和新思科技(Synopsys)近日宣布已經(jīng)達成最終協(xié)議,深化在半導體IP和EDA(電子設計自動化)領域的長期戰(zhàn)略合作伙伴關(guān)系,共同為英特爾代工服務的客戶開發(fā)基于Intel 3和Intel 18A制程節(jié)點的IP產(chǎn)品組合。提供基于英特爾先進制程節(jié)點的關(guān)鍵
2023-09-12 16:36:24175

聯(lián)發(fā)科3nm流片成功

臺積公司的3 納米制程技術(shù)不僅為高性能計算和移動應用提供完整的平臺支持,還擁有更強化的性能、功耗以及良率。相較于 5 納米制程,臺積公司 3 納米制程技術(shù)的邏輯密度增加約 60 %,在相同功耗下速度提升 18 %,或者在相同速度下功耗降低 32%。
2023-09-07 15:59:39281

MediaTek采用臺積公司3納米制程生產(chǎn)的芯片已成功流片,預計2024年量產(chǎn)

? 2023 年9月7日 – MediaTek與臺積公司今日共同宣布,MediaTek首款采用臺積公司3納米制程生產(chǎn)的天璣旗艦芯片開發(fā)進度十分順利,日前已成功流片,預計將在明年量產(chǎn)。MediaTek
2023-09-07 10:14:4877

MediaTek 采用臺積公司 3 納米制程生產(chǎn)的芯片已成功流片,預計 2024 年量產(chǎn)

MediaTek 與臺積公司今日共同宣布,MediaTek 首款采用臺積公司 3 納米制程生產(chǎn)的天璣旗艦芯片開發(fā)進度十分順利,日前已成功流片,預計將在明年量產(chǎn)。MediaTek 與臺積公司
2023-09-07 09:30:01255

英特爾IDM 2.0戰(zhàn)略再進一步,攜手思科技開發(fā)基于Intel 3、Intel 18A的領先IP

合作伙伴關(guān)系和加快提供IP的速度,該合作將支持英特爾代工服務生態(tài)的發(fā)展; ·?該合作建立在新思科技與英特爾長期的IP和EDA戰(zhàn)略合作伙伴關(guān)系之上。 英特爾和新思科技(Synopsys)宣布已經(jīng)達成最終協(xié)議,深化在半導體IP和EDA(電子設計自動化)領域的長期戰(zhàn)略合作伙伴關(guān)系,共
2023-08-28 11:08:29322

英特爾和新思科技深化合作 提供英特爾先進制程節(jié)點關(guān)鍵IP

該合作協(xié)議的簽訂,將為客戶提供更加高效可靠的芯片制造工具,進一步提高客戶的生產(chǎn)效率和市場競爭力。此次合作的重點是加強顧客的半導體制造能力,適應高性能半導體的需求。通過新思科技的ip授權(quán),客戶可以獲得更強大的芯片制造工具,并提高芯片的生產(chǎn)速度和質(zhì)量。
2023-08-28 10:48:17320

英特爾和新思科技深化合作,提供基于英特爾先進制程節(jié)點的領先IP

技與英特爾長期的IP和EDA戰(zhàn)略合作伙伴關(guān)系之上。 英特爾和新思科技(Synopsys)宣布已經(jīng)達成最終協(xié)議,深化在半導體IP和EDA(電子設計自動化)領域的長期戰(zhàn)略合作伙伴關(guān)系,共同為英特爾代工服務的客戶開發(fā)基于Intel 3和Intel 18A制程節(jié)點的IP產(chǎn)品組合。提供基于英特爾
2023-08-26 10:20:01435

思科IP成功在臺積公司3nm工藝實現(xiàn)流片

基于臺積公司N3E工藝技術(shù)的新思科IP能夠為希望降低集成風險并加快首次流片成功的芯片制造商建立競爭優(yōu)勢
2023-08-24 17:37:47657

思科技與英特爾擴大戰(zhàn)略合作,以關(guān)鍵IP組合賦能Intel 3/18A先進制程

戰(zhàn)略合作伙伴關(guān)系之上; 新思科技與英特爾近日共同宣布,雙方已經(jīng)達成一項最終協(xié)議,通過為英特爾代工客戶開發(fā)針對Intel 3和Intel 18A制程工藝的IP產(chǎn)品組合,進一步擴大在半導體IP和EDA(電子設計自動化)領域的長期戰(zhàn)略合作伙伴關(guān)系。新思科技針對英特爾先
2023-08-18 15:10:02378

思科技PVT IP:從源頭解決先進制程芯片“三大攔路虎”

本文轉(zhuǎn)自TechSugar 感謝TechSugar對新思科技的關(guān)注 雖然摩爾定律走到極限已成行業(yè)共識,但是在現(xiàn)代科技領域中,先進制程芯片的設計仍是實現(xiàn)高性能、低功耗和高可靠性的關(guān)鍵。芯片開發(fā)者正在
2023-08-15 17:35:01712

英特爾將新思科IP納入先進代工制造,支持Intel 3/18A工藝

此次交易包括新思科技所有的ip(知識產(chǎn)權(quán)),該ip被用作芯片設計師的組裝零件,以加快工程進度。兩家公司表示,synsis將提供一系列設計,以與英特爾的卓越制造能力intel 3和intel 18a共同使用。
2023-08-15 11:29:19599

臺積電高雄廠將以 2 納米先進制程技術(shù)進行生產(chǎn)規(guī)劃

" 中央社 " 消息,臺積電將于 2025 年實現(xiàn)2 納米制程的量產(chǎn),采用納米片晶體管結(jié)構(gòu)。此外,臺積電還在2納米技術(shù)上研發(fā)出背面電軌解決方案,這將適用于高效能運算相關(guān)應用。臺積電計劃在2025年下半年推出這種解決方案,并在2026年實現(xiàn)量產(chǎn)。
2023-08-09 18:21:09640

鴻蒙智聯(lián)再出發(fā),攜手伙伴共贏空間智能化,創(chuàng)造無限可能

可提供多達16家40款芯片和20家48款模組。并且在此次大會上,鴻蒙智聯(lián)攜手新一代近距離無線聯(lián)接技術(shù)——星閃,滿足超低時延、高速率、高并發(fā)、高可靠等業(yè)務場景對智能生態(tài)產(chǎn)品的嚴苛訴求。鴻蒙智聯(lián)解決方案還針對
2023-08-09 17:14:34

全面支持Intel 16!新思科技EDA流程及IP獲認證,攜手推動成熟應用領域創(chuàng)新

思科技EDA數(shù)字和定制設計流程及半導體IP可提高芯片的功耗、性能和面積,同時將Intel 16制程工藝的集成風險降至最低 基于英特爾代工服務加速器(IFS Accelerator)生態(tài)聯(lián)盟,新思科
2023-08-07 18:45:03333

淺析車規(guī)級CPU IP和RISC-V的選擇

自研的CPU IP:類似瑞薩的RH850,英飛凌的TriCore等,德州儀器的C28
2023-07-31 16:16:07372

DEVICENET轉(zhuǎn)ETHERNET/IP網(wǎng)關(guān)ethernet/ip協(xié)議

捷米JM-EIP-DNT,你聽說過嗎?這是一款自主研發(fā)的ETHERNET/IP從站功能的通訊網(wǎng)關(guān),它能夠連接DEVICENET總線和ETHERNET/IP網(wǎng)絡,從而解決生產(chǎn)管理系統(tǒng)中協(xié)議不同造成的數(shù)據(jù)交換互通問題。
2023-07-31 15:34:23384

兩大IP擴大IP合作,新思科攜手三星加速新興領域復雜SoC設計

思科技接口IP適用于USB、PCI Express、112G以太網(wǎng)、UCIe、LPDDR、DDR、MIPI等廣泛使用的協(xié)議中,并在三星工藝中實現(xiàn)高性能和低延遲 新思科技基礎IP,包括邏輯庫、嵌入式
2023-07-26 17:40:03255

傳臺積電高雄廠切入2納米制程以應對AI浪潮

據(jù)該報報道,tsmc的2納米投資方案比當初的28納米投資計劃還要多,并要求臺灣經(jīng)濟當局和高雄市政府決定2納米生產(chǎn)工廠,要求在后續(xù)自來水供應和電力供應方面給予協(xié)助。
2023-07-17 10:20:25273

ETHERNET/IP轉(zhuǎn)TCP/IP網(wǎng)關(guān)ETHERNET/IP伺服

大家好,今天我們將帶大家了解一款自主研發(fā)的通訊網(wǎng)關(guān),遠創(chuàng)智控YC-EIP-TCP/IP。這是一個強大的工具,能幫助我們將ETHERNET/IP網(wǎng)絡和TCP/IP網(wǎng)絡連接在一起,讓我們更好地管理和監(jiān)控網(wǎng)絡。
2023-07-17 09:20:02410

芯片也能“開天眼”?新思科攜手臺積公司實現(xiàn)SLM PVT監(jiān)控IP流片

的“耳目”。 新思科技一直走在芯片監(jiān)控解決方案的前沿,而這些解決方案是新思科技芯片生命周期管理(SLM)系列的一部分。最近, 新思科技在臺積公司N5和N3E工藝上完成了PVT監(jiān)控IP測試芯片的流片 。這是一個里程碑式的成功。從此,那些準備在這些先進節(jié)點
2023-07-11 17:40:01512

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-07-06 20:21:030

IP_數(shù)據(jù)表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_數(shù)據(jù)表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-07-06 20:19:040

IP_數(shù)據(jù)表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_數(shù)據(jù)表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-07-06 20:18:392

IP_數(shù)據(jù)表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-07-06 20:18:200

IP_數(shù)據(jù)表(I-6):SATA PHY for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-6):SATA PHY for TSMC 28nm HPC+
2023-07-06 20:18:070

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_數(shù)據(jù)表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_數(shù)據(jù)表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_數(shù)據(jù)表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-07-06 20:17:410

IP_數(shù)據(jù)表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_數(shù)據(jù)表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-07-06 20:12:360

IP_數(shù)據(jù)表(I-2):Combo PHY for TSMC 28nm HPM

IP_數(shù)據(jù)表(I-2):Combo PHY for TSMC 28nm HPM
2023-07-06 20:12:261

IP_數(shù)據(jù)表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_數(shù)據(jù)表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-07-06 20:11:570

IP6557支持 UFCS/PD2.0/PD3.1/ERP28V?等快充協(xié)議的升降壓 SOC

IP6557支持 UFCS/PD2.0/PD3.1/ERP28V等快充協(xié)議的升降壓 SOC簡介 IP6557是一款集成升降壓控制器和路徑NMOS的控制功能,支持 QC2.0/QC3.0/QC3+
2023-07-05 20:02:5720

IP 數(shù)據(jù)表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 數(shù)據(jù)表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-07-05 19:47:130

IP_數(shù)據(jù)表(I-28):MIPI D-PHY Tx/Rx for Samsung 28nm

IP_數(shù)據(jù)表(I-28):MIPI D-PHY Tx/Rx for Samsung 28nm
2023-07-05 19:46:141

IP_數(shù)據(jù)表(I-26):USB2.0 Transceiver for Samsung 28nm

IP_數(shù)據(jù)表(I-26):USB2.0 Transceiver for Samsung 28nm
2023-07-05 19:45:460

今日看點丨臺積電:不排除在日本生產(chǎn)先進芯片 2nm研發(fā)順利;電科裝備實現(xiàn)離子注入裝備28納米工藝制程全覆

示日本工廠將以日本客戶為中心,預計將有持續(xù)且旺盛的需求。據(jù)此前消息,該工廠規(guī)劃生產(chǎn)22/28nm以及12/16nm芯片,月產(chǎn)能目標為5.5萬片晶圓。臺積電在發(fā)布會上強調(diào),2nm制程工藝(N2)研發(fā)順利,能夠按照此前目標于2025年量產(chǎn)。此外,張曉強還表示,256M
2023-07-03 10:49:13731

思科技與三星擴大IP合作,加速新興領域先進SoC設計

面向三星8LPU、SF5 (A)、SF4 (A)和SF3工藝的新思科技接口和基礎IP,加速先進SoC設計的成功之路 摘要: 新思科技接口IP適用于USB、PCI Express、112G以太網(wǎng)
2023-06-30 13:40:14341

給時代一點小小的“芯”震撼

思科技系統(tǒng)級解決方案賦能Arm全新計算平臺,攜手加速下一代移動SoC開發(fā) 基于Arm全新的2023全面計算解決方案(TCS23),新思科技提供了經(jīng)優(yōu)化的EDA和IP全方位解決方案,助力Arm應對
2023-06-28 17:55:03252

思科攜手力積電,以3DIC解決方案將AI推向新高

地將多個裸片相鄰連接,而是通過硅晶圓或裸片的垂直堆疊來大幅提高性能和功耗表現(xiàn),并讓尺寸變得更小。 為此,新思科技和力晶積成電子制造股份有限公司(簡稱“力積電”)攜手合作,共同推出新的晶圓堆棧晶圓(WoW)和晶圓堆棧芯片(CoW)
2023-06-27 17:35:01745

M16C/28 組(M16C/28、M16C/28B)短表

M16C/28 組(M16C/28、M16C/28B)短表
2023-06-26 19:24:080

一次成功!新思科技助力Banias Labs網(wǎng)絡SoC流片,加快高性能計算設計

業(yè)界領先的新思科技112G以太網(wǎng)PHY IP和人工智能驅(qū)動的EDA解決方案成功縮短了5納米芯片的初啟時間 新思科技近日宣布,其112G以太網(wǎng)PHY IP和業(yè)界領先的EDA解決方案成功協(xié)助Banias
2023-06-19 18:05:01180

思科技系統(tǒng)級解決方案賦能Arm全新計算平臺,攜手加速下一代移動SoC開發(fā)

思科技系統(tǒng)級全方位解決方案涵蓋了設計、驗證、芯片生命周期管理和IP,可提供業(yè)界領先的性能和能效 Synopsys.ai全棧式人工智能驅(qū)動型EDA解決方案和新思科技Fusion Compiler
2023-06-07 01:50:02366

思科技與Arm強強聯(lián)手,加快下一代移動SoC開發(fā)

思科技業(yè)界領先的EDA和IP全方位解決方案與Arm全面計算解決方案強強結(jié)合,助力生態(tài)系統(tǒng)應對多裸晶芯片系統(tǒng)設計挑戰(zhàn)。
2023-06-05 11:55:08414

思科(Cisco)系列路由表的介紹

路由表的介紹 在思科(Cisco)系列路由器上,show ip route 這個命令是一個非常常用并且十分重要的命令。里面可以查看該設備的當前直連的或者學習到的全部路由信息,即路由表:routing
2023-05-31 11:04:541146

思科技、臺積公司和Ansys強化生態(tài)系統(tǒng)合作,共促多裸晶芯片系統(tǒng)發(fā)展

思科技(Synopsys, Inc.)近日宣布,攜手臺積公司和Ansys持續(xù)加強多裸晶芯片系統(tǒng)設計與制造方面的合作,助力加速異構(gòu)芯片集成以實現(xiàn)下一階段的系統(tǒng)可擴展性和功能。得益于與臺積公司
2023-05-18 16:04:08790

4308A 航28V中功率SSPC

 概述        4308A是一款采用厚膜工藝制成的航28V中功率SSPC(固態(tài)功率控制器),符合GJB2438規(guī)范。其內(nèi)部主要
2023-05-16 10:32:45

基于DWC2的USB驅(qū)動開發(fā)-0x02 DWC2 USB2.0 IP功能特征介紹

DWC2即新思(Synopsys )的DesignWare? Cores USB 2.0 HiSpeed On-The-Go (OTG)控制器IP,被大量使用。從linux的內(nèi)核源碼驅(qū)動中就帶DWC2的驅(qū)動(新思官方維護),可以看出其使用的非常多。
2023-05-09 10:09:525594

M16C/28 組(M16C/28、M16C/28B)短表

M16C/28 組(M16C/28、M16C/28B)短表
2023-05-05 19:32:291

PCB制程中的COB工藝是什么呢?

PCB制程中的COB工藝是什么呢?
2023-04-23 10:46:59

思科技發(fā)布業(yè)界首款全棧式AI驅(qū)動型EDA解決方案Synopsys.ai

)、IBM、聯(lián)發(fā)科(MediaTek)和瑞薩電子(Renesas)均對新思科技的AI驅(qū)動型EDA設計策略表示支持,并已利用Synopsys.ai解決方案取得顯著成果:瑞薩電子在減少功能覆蓋盲區(qū)方面實現(xiàn)
2023-04-03 16:03:26

思科攜手英偉達,加速計算光刻進入“iPhone時刻”

數(shù)十年來,為制造工藝制作掩模一直是半導體制造中的重要環(huán)節(jié)。隨著我們轉(zhuǎn)向采用5nm、3nm甚至2nm等更先進的工藝節(jié)點,縮短計算光刻耗時可幫助半導體制造公司高效地制造芯片。作為該領域的先鋒企業(yè),新思科
2023-03-25 16:40:01495

已全部加載完成