0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > fpga設(shè)計(jì)

fpga設(shè)計(jì)

fpga設(shè)計(jì)

+關(guān)注9人關(guān)注

FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。

文章:348 瀏覽:26452 帖子:90

fpga設(shè)計(jì)技術(shù)

談?wù)凩atch:組合與時(shí)序邏輯的橋梁

談?wù)凩atch:組合與時(shí)序邏輯的橋梁

鎖存器( latch)是電平觸發(fā)的存儲單元,數(shù)據(jù)存儲的狀態(tài)取決于輸入時(shí)鐘(或者使能)信號的電平值,僅當(dāng)鎖存器處于使能狀態(tài)時(shí),輸出才會隨著數(shù)據(jù)輸入發(fā)生變化。

2023-06-02 標(biāo)簽:FPGA設(shè)計(jì)EDA工具鎖存器 3459 0

通過HLS封裝一個移位流水燈的程序案例

通過HLS封裝一個移位流水燈的程序案例

當(dāng)我們安裝好Vivado 的時(shí)候,也同時(shí)裝好了Vivado HLS.。 這是個什么東西?我就有一種想一探究的感覺。網(wǎng)上一查,Vivado High-Le...

2020-10-14 標(biāo)簽:FPGA設(shè)計(jì)XilinxC++ 3396 0

FPGA設(shè)計(jì)時(shí)的五大注意事項(xiàng)盤點(diǎn)

雖然目標(biāo)應(yīng)用和開發(fā)團(tuán)隊(duì)的成員不同,但有些FPGA設(shè)計(jì)顯然有一些通病,使設(shè)計(jì)從工程師坐下來寫第一行HDL程序代碼時(shí),就注定了項(xiàng)目失敗的命運(yùn)。 在我的職業(yè)生...

2018-07-05 標(biāo)簽:fpgafpga設(shè)計(jì) 3350 0

基于FPGA的自適應(yīng)閾值分割算法實(shí)現(xiàn)

基于FPGA的自適應(yīng)閾值分割算法實(shí)現(xiàn)

在圖像預(yù)處理中經(jīng)常會碰到圖像分割問題,把感興趣的目標(biāo)從背景圖像中提取出來,而經(jīng)常使用的是簡單的全局閾值分割配置,用一個固定常數(shù)作為二值分割閾值,從而得到...

2021-08-23 標(biāo)簽:fpgaFPGA設(shè)計(jì)算法 3332 0

手把手用Verilog實(shí)現(xiàn)FIR濾波器

手把手用Verilog實(shí)現(xiàn)FIR濾波器

首先需要把FIR最基本的結(jié)構(gòu)實(shí)現(xiàn),也就是每個FIR抽頭的數(shù)據(jù)與其抽頭系數(shù)相乘這個操作。由頂層文件對這個基本模塊進(jìn)行多次調(diào)用。

2023-06-19 標(biāo)簽:轉(zhuǎn)換器FPGA設(shè)計(jì)FIR 3296 0

使用XDMA測試FPGA與PC通信的過程

使用XDMA測試FPGA與PC通信的過程

Xilinx的Vivado中,有三種方式可以實(shí)現(xiàn)PCIE功能

2023-06-19 標(biāo)簽:FPGA設(shè)計(jì)dmaVivado 3294 0

Xilinx FPGA AXI4總線(二)用實(shí)例介紹5個讀寫通道

Xilinx FPGA AXI4總線(二)用實(shí)例介紹5個讀寫通道

AXI4協(xié)議是一個點(diǎn)對點(diǎn)的主從接口協(xié)議,數(shù)據(jù)可以同時(shí)在主機(jī)(Master)和從機(jī)(Slave)之間**雙向** **傳輸** ,且數(shù)據(jù)傳輸大小可以不同。

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)FIFO存儲BRAM 3253 0

技術(shù) | 復(fù)雜FPGA高效設(shè)計(jì)及優(yōu)化方法

技術(shù) | 復(fù)雜FPGA高效設(shè)計(jì)及優(yōu)化方法

隨著電子產(chǎn)品的集成性及復(fù)雜度呈指數(shù)型增長,加上越來越苛刻的研發(fā)周期要求,給各種設(shè)計(jì)公司提出了難題。

2019-06-27 標(biāo)簽:PCBFPGA設(shè)計(jì) 3200 0

FPGA開發(fā)經(jīng)驗(yàn)分享:基于JESD204B的LMK04821芯片項(xiàng)目開發(fā)

FPGA開發(fā)經(jīng)驗(yàn)分享:基于JESD204B的LMK04821芯片項(xiàng)目開發(fā)

今天給各位大俠帶來一篇項(xiàng)目開發(fā)經(jīng)驗(yàn)分享基于JESD204B的LMK04821芯片項(xiàng)目開發(fā),這是本人實(shí)打?qū)嵉捻?xiàng)目開發(fā)經(jīng)驗(yàn),希望可以給有需要的大俠提供一些參...

2020-04-21 標(biāo)簽:fpgaFPGA設(shè)計(jì)寄存器 3173 0

求一種基于FPGA時(shí)間數(shù)字轉(zhuǎn)換(TDC)設(shè)計(jì)方案

求一種基于FPGA時(shí)間數(shù)字轉(zhuǎn)換(TDC)設(shè)計(jì)方案

時(shí)間數(shù)字轉(zhuǎn)換(Time-to-Digital Converter,TDC)是一種用來測量時(shí)間的電路,它將連續(xù)的時(shí)間信號轉(zhuǎn)換為數(shù)字信號,從而實(shí)現(xiàn)時(shí)間測量的數(shù)字化。

2023-09-22 標(biāo)簽:fpgaFPGA設(shè)計(jì)寄存器 3096 0

基于FPGA設(shè)計(jì)的醫(yī)學(xué)監(jiān)測用視力測試儀設(shè)計(jì)

基于FPGA設(shè)計(jì)的醫(yī)學(xué)監(jiān)測用視力測試儀設(shè)計(jì)

實(shí)現(xiàn)用FPGA隨機(jī)生成不同方向的E, 通過VGA接口在顯示器上顯示,判斷測試者按的按鍵方向是否正確,通過幾輪測試計(jì)算并顯示最終視力測試結(jié)果的功能

2017-08-11 標(biāo)簽:fpgaFPGA設(shè)計(jì)視力測試儀 2883 2

Plunify推出Kabuto_可最大限度地減少和消除性能錯誤

Plunify?基于機(jī)器學(xué)習(xí)技術(shù)的現(xiàn)場可編程門陣列(FPGA)時(shí)序收斂和性能優(yōu)化軟件供應(yīng)商,今天推出了Kabuto?,可最大限度地減少和消除性能錯誤。

2018-07-04 標(biāo)簽:fpga設(shè)計(jì)plunify 2845 0

always組合邏輯塊的講解和譯碼器的實(shí)現(xiàn)

always組合邏輯塊的講解和譯碼器的實(shí)現(xiàn)

always 語句是重復(fù)執(zhí)行的。always 語句塊從 0 時(shí)刻開始執(zhí)行其中的行為語句;當(dāng)執(zhí)行完最后一條語句后,便再次執(zhí)行語句塊中的第一條語句,如此循環(huán)反復(fù)。

2023-05-22 標(biāo)簽:FPGA設(shè)計(jì)led燈譯碼器 2742 0

tcl局部編輯以最小的代價(jià)完成最大的改動

tcl局部編輯以最小的代價(jià)完成最大的改動

第一步所指的Design通常是完全布局布線后的設(shè)計(jì),如果是在工程模式下,可以直接在IDE中打開實(shí)現(xiàn)后的設(shè)計(jì),若是僅有DCP文件,不論是工程模式或是非工程...

2019-07-25 標(biāo)簽:FPGA設(shè)計(jì)TCL手動布線 2736 0

如何實(shí)現(xiàn)FPGA設(shè)計(jì)與PCB設(shè)計(jì)并行

如何實(shí)現(xiàn)FPGA設(shè)計(jì)與PCB設(shè)計(jì)并行

電子工業(yè)背后的推動力是對更快、更便宜的產(chǎn)品的需求以及在競爭廠商之前將產(chǎn)品推向市場。IC技術(shù)的進(jìn)步一直以來就是促使功能增加和性能提高的主要因素之一,而FP...

2019-05-24 標(biāo)簽:icfpga設(shè)計(jì)pcb設(shè)計(jì) 2659 0

vivado主界面及設(shè)計(jì)流程

vivado主界面及設(shè)計(jì)流程

Vivado設(shè)計(jì)主界面,它的左邊是設(shè)計(jì)流程導(dǎo)航窗口,是按照FPGA的設(shè)計(jì)流程設(shè)置的,只要按照導(dǎo)航窗口一項(xiàng)一項(xiàng)往下進(jìn)行,就會完成從設(shè)計(jì)輸入到最后下載到開發(fā)...

2023-09-17 標(biāo)簽:FPGA設(shè)計(jì)寄存器TCL 2651 0

基于fpga的過采樣技術(shù)設(shè)計(jì)

基于fpga的過采樣技術(shù)設(shè)計(jì)

過采樣技術(shù)是數(shù)字信號處理者用來提高模數(shù)轉(zhuǎn)換器(ADC)性能經(jīng)常使用的方法之一,它通過減小量化噪聲,提高ADC的信噪比,從而提高ADC的有效分辨率[1]。...

2018-12-19 標(biāo)簽:fpgaFPGA設(shè)計(jì)Cyclone 2607 0

IP保護(hù)的各種途徑

IP保護(hù)的各種途徑

本應(yīng)用筆記介紹了FPGA (現(xiàn)場可編程門陣列)及其如何保護(hù)系統(tǒng)的關(guān)鍵功能和知識產(chǎn)權(quán)(IP)。本文探討了IP保護(hù)的各種途徑。SHA-1質(zhì)詢-響應(yīng)認(rèn)證被認(rèn)為...

2017-11-22 標(biāo)簽:fpga設(shè)計(jì) 2575 0

基于Verilog的“自適應(yīng)”形態(tài)學(xué)濾波算法實(shí)現(xiàn)

基于Verilog的“自適應(yīng)”形態(tài)學(xué)濾波算法實(shí)現(xiàn)

一、背景介紹 基于二值圖像的濾波算法即形態(tài)學(xué)濾波,在圖像目標(biāo)采集的預(yù)處理中經(jīng)常被使用到,針對不同的使用場景涉及到腐蝕、膨脹、開閉運(yùn)算等處理。實(shí)際使用中對...

2021-08-23 標(biāo)簽:FPGA設(shè)計(jì)濾波圖像處理 2558 0

電平設(shè)計(jì)基礎(chǔ):電平匹配設(shè)計(jì)

電平設(shè)計(jì)基礎(chǔ):電平匹配設(shè)計(jì)

單端邏輯電平的匹配是我們平時(shí)在硬件設(shè)計(jì)中最經(jīng)常碰到的,我們在《TTL&CMOS電平》章節(jié)中已經(jīng)對TTL和COMS電平的匹配設(shè)計(jì)做了一些分析,一般...

2023-06-25 標(biāo)簽:二極管FPGA設(shè)計(jì)MOS管 2514 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會使編程的可視化和交互性加強(qiáng),編程門檻降低,即使沒有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識別
    識別
    +關(guān)注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關(guān)注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過去汽車電子產(chǎn)品的開發(fā)周期是漫長的,而許多汽車制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長寬,直插,貼片,焊盤的大小,管腳的長寬,管腳的間距等)用圖形方式表現(xiàn)出來,以便可以在畫pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫
    PCB封裝庫
    +關(guān)注
  • 語音交互
    語音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對的市場領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會阻礙交變電流的流動,合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個新的高性能協(xié)議。
  • 驅(qū)動電流
    驅(qū)動電流
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性價(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號,也可以是壓力、溫度、濕度、位移、聲音等非電信號;而AD分辨率指數(shù)字量變化一個最小量時(shí)模擬信號的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(9人)

1114502831 宋從超 唐老鴨 taylors liult03 阮如媛 藍(lán)天之約lc undefined_c1a 三四二一

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題

電機(jī)控制 DSP 氮化鎵 功率放大器 ChatGPT 自動駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無刷電機(jī) FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機(jī) PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機(jī) SPWM 充電樁 IPM 機(jī)器視覺 無人機(jī) 三菱電機(jī) ST
伺服電機(jī) SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國民技術(shù) Microchip
瑞薩 沁恒股份 全志 國民技術(shù) 瑞芯微 兆易創(chuàng)新 芯??萍?/a> Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚(yáng)興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費(fèi)諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機(jī) 金升陽 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運(yùn)算放大器 差動放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變增益放大器 隔離放大器
時(shí)鐘 時(shí)鐘振蕩器 時(shí)鐘發(fā)生器 時(shí)鐘緩沖器 定時(shí)器 寄存器 實(shí)時(shí)時(shí)鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚(yáng)聲器放大器 音頻轉(zhuǎn)換器 音頻開關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計(jì) 溫度傳感器 壓力傳感器
電機(jī)驅(qū)動器 步進(jìn)驅(qū)動器 TWS BLDC 無刷直流驅(qū)動器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開關(guān)電源 步進(jìn)電機(jī) 無線充電 LabVIEW EMC PLC OLED 單片機(jī)
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語音識別 萬用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機(jī)器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計(jì):PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實(shí)戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計(jì)教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語言基礎(chǔ)教程,c語言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點(diǎn)原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題