0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > fpga設(shè)計

fpga設(shè)計

fpga設(shè)計

+關(guān)注9人關(guān)注

FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。

文章:348 瀏覽:26452 帖子:90

fpga設(shè)計技術(shù)

Zynq高速串行CMOS接口的設(shè)計與實現(xiàn)

Zynq高速串行CMOS接口的設(shè)計與實現(xiàn)

現(xiàn)在CMOS傳感器的分辨率越來越大,對應(yīng)的,對數(shù)據(jù)傳輸接口的要求也越來越高。

2023-06-28 標(biāo)簽:轉(zhuǎn)換器FPGA設(shè)計寄存器 2513 0

如何使用Verilog硬件描述語言描述時序邏輯電路?

如何使用Verilog硬件描述語言描述時序邏輯電路?

時序邏輯電路的特點是輸出信號不僅與電路的輸入有關(guān),還與電路原來的狀態(tài)有關(guān)。

2023-09-17 標(biāo)簽:FPGA設(shè)計反相器D觸發(fā)器 2496 0

FPGA時序約束之衍生時鐘約束和時鐘分組約束

在FPGA設(shè)計中,時序約束對于電路性能和可靠性非常重要。在上一篇的文章中,已經(jīng)詳細(xì)介紹了FPGA時序約束的主時鐘約束。

2023-06-12 標(biāo)簽:fpgaFPGA設(shè)計pll 2468 0

FPGA中三種常用復(fù)位電路

FPGA中三種常用復(fù)位電路

在FPGA設(shè)計中,復(fù)位電路是非常重要的一部分,它能夠確保系統(tǒng)從初始狀態(tài)開始啟動并保證正確運行。本文將分別介紹FPGA中三種常用復(fù)位電路:同步復(fù)位、異步復(fù)...

2023-05-14 標(biāo)簽:fpgaFPGA設(shè)計寄存器 2436 0

FPGA設(shè)計過程中常用的FIFO

無論何時,在復(fù)雜的 FPGA 設(shè)計過程中,都不可避免地需要在模塊之間發(fā)送數(shù)據(jù),實現(xiàn)這一點的常用的是 FIFO。

2022-09-20 標(biāo)簽:fpgaFPGA設(shè)計數(shù)據(jù) 2405 0

嘮一嘮解決FPGA約束中時序不收斂的問題

嘮一嘮解決FPGA約束中時序不收斂的問題

FPGA時序不收斂,會出現(xiàn)很多隨機性問題,上板測試大概率各種跑飛,而且不好調(diào)試定位原因,所以在上板測試前,先優(yōu)化時序,再上板。

2023-06-26 標(biāo)簽:FPGA設(shè)計寄存器RAM 2385 0

用Verilog在FPGA上實現(xiàn)低通濾波器

用Verilog在FPGA上實現(xiàn)低通濾波器

在本文中,我們將簡要介紹不同類型的濾波器,然后學(xué)習(xí)如何實現(xiàn)移動平均濾波器并使用CIC架構(gòu)對其進(jìn)行優(yōu)化。

2023-10-02 標(biāo)簽:FPGA設(shè)計低通濾波器加法器 2381 0

不得不讀的Xilinx FPGA復(fù)位策略

不得不讀的Xilinx FPGA復(fù)位策略

盡量少使用復(fù)位,特別是少用全局復(fù)位,能不用復(fù)位就不用,一定要用復(fù)位的使用局部復(fù)位;

2023-06-21 標(biāo)簽:FPGA設(shè)計微處理器觸發(fā)器 2370 0

LVDS差分信號輸入輸出的處理方法

LVDS差分信號輸入輸出的處理方法

最近調(diào)試芯片遇到一個選擇題,需要決定數(shù)據(jù)接口的接口標(biāo)準(zhǔn),是選用LVDS差分接口還是CMOS單端接口。

2023-06-16 標(biāo)簽:CMOSFPGA設(shè)計差分信號 2343 0

基于賽靈思Kintex-7 FPGA設(shè)計或硬件原型的快速部署方法

PLDA集團(tuán)的990美元XpressK7 PCIe板卡,為系統(tǒng)設(shè)計師提供了一個基于賽靈思Kintex-7 FPGA設(shè)計或硬件原型的快速部署方法。這個主板...

2017-02-10 標(biāo)簽:FPGAFPGA設(shè)計賽靈思 2298 0

如何FPGA內(nèi)部的RAM進(jìn)行讀改寫操作

如何FPGA內(nèi)部的RAM進(jìn)行讀改寫操作

存儲器是FPGA設(shè)計中的常用單元,對存儲器的操作,最基礎(chǔ)的就是讀寫操作,還有一種就是讀改寫操作,即先讀出存儲器中的數(shù)據(jù),對其進(jìn)行修改后,再寫入存儲器。這...

2023-09-28 標(biāo)簽:fpgaFPGA設(shè)計存儲器 2292 0

基于FPGA的Petri網(wǎng)的硬件實現(xiàn)

基于FPGA的Petri網(wǎng)的硬件實現(xiàn)

Petri網(wǎng)是異步并發(fā)現(xiàn)象建模的重要工具,Petri網(wǎng)的硬件實現(xiàn)將為并行控制器的設(shè)計提供一種有效的途徑.本文在通用的EDA軟件Max+PlusII中,研...

2019-01-01 標(biāo)簽:FPGA設(shè)計Petri網(wǎng) 2274 0

FPGA設(shè)計之tcl腳本的應(yīng)用

FPGA設(shè)計之tcl腳本的應(yīng)用

目前已經(jīng)學(xué)完了基礎(chǔ)實驗,這里要介紹Quatus自帶的兩個非常重要的功能,第一個是tcl腳本,第二個是SignalTap(下一篇)。

2023-09-07 標(biāo)簽:fpgaFPGA設(shè)計TCL 2265 0

使用Altera Interface Planner高效設(shè)計FPGA引腳布局

使用Altera Interface Planner高效設(shè)計FPGA引腳布局

Altera Interface Planner 用于探索設(shè)備的外設(shè)架構(gòu),并高效地分配接口。通過實時進(jìn)行擬合和合法性檢查,防止非法引腳分配。

2024-03-22 標(biāo)簽:pcbFPGA設(shè)計Altera 2263 0

基于FPGA的深度學(xué)習(xí)CNN加速器設(shè)計方案

基于FPGA的深度學(xué)習(xí)CNN加速器設(shè)計方案

因為CNN的特有計算模式,通用處理器對于CNN實現(xiàn)效率并不高,不能滿足性能要求。 因此,近來已經(jīng)提出了基于FPGA,GPU甚至ASIC設(shè)計的各種加速器來...

2023-06-14 標(biāo)簽:處理器FPGA設(shè)計存儲器 2199 0

Xilinx FPGA AXI4總線(一)介紹【AXI4】【AXI4-Lite】【AXI-Stream】

Xilinx FPGA AXI4總線(一)介紹【AXI4】【AXI4-Lite】【AXI-Stream】

從 FPGA 應(yīng)用角度看看 AMBA 總線中的 AXI4 總線。

2023-06-21 標(biāo)簽:控制器FPGA設(shè)計DDR 2190 0

采用FPGA設(shè)計科學(xué)級CCD相機時序發(fā)生器

采用FPGA設(shè)計科學(xué)級CCD相機時序發(fā)生器

科學(xué)級CCD相機一般由高速CCD感光芯片、視頻信號處理器、時序控制器、時序發(fā)生器、時序驅(qū)動器、外部光學(xué)成像系統(tǒng)等部分組成,本文分析了IL-E2型TDI-...

2017-11-24 標(biāo)簽:fpgaccdFPGA設(shè)計 2183 0

淺析FPGA的調(diào)試-內(nèi)嵌邏輯分析儀(SignalTap)原理及實例

淺析FPGA的調(diào)試-內(nèi)嵌邏輯分析儀(SignalTap)原理及實例

對于FPGA調(diào)試,主要以Intel FPGA為例,在win10 Quartus ii 17.0環(huán)境下進(jìn)行仿真和調(diào)試,開發(fā)板類型EP4CE15F17。

2024-01-12 標(biāo)簽:FPGA設(shè)計寄存器狀態(tài)機 2169 0

基于FPGA的EnDat接口編碼器數(shù)據(jù)采集設(shè)計

基于FPGA的EnDat接口編碼器數(shù)據(jù)采集設(shè)計

EnDat接口是HEIDENHAIN專為編碼器設(shè)計的數(shù)字式、全雙工同步串行的數(shù)據(jù)傳輸協(xié)議,具有傳輸速度快、功能強大、連線簡單、抗干擾能力強等優(yōu)點,是編碼...

2019-01-01 標(biāo)簽:FPGA設(shè)計 2135 0

FPGA工程師手記:FPGA系統(tǒng)設(shè)計黃金法則

當(dāng)前業(yè)內(nèi)領(lǐng)先的FPGA公司里工作的應(yīng)用工程師每天都會面對很多設(shè)計問題,而且他們已經(jīng)提出了一些將令你的設(shè)計工作變得更輕松的設(shè)計指導(dǎo)原則和解決方案。掌握FP...

2013-07-17 標(biāo)簽:FPGAFPGA設(shè)計C語言 2130 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會使編程的可視化和交互性加強,編程門檻降低,即使沒有編程經(jīng)驗的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識別
    識別
    +關(guān)注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關(guān)注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來實現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計挑戰(zhàn)。過去汽車電子產(chǎn)品的開發(fā)周期是漫長的,而許多汽車制造商現(xiàn)正致力于在更短的時間內(nèi),裝備消費者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長寬,直插,貼片,焊盤的大小,管腳的長寬,管腳的間距等)用圖形方式表現(xiàn)出來,以便可以在畫pcb圖時進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計輸入到硬件配置的完整PLD設(shè)計流程。
  • PCB封裝庫
    PCB封裝庫
    +關(guān)注
  • 語音交互
    語音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對的市場領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實驗設(shè)計制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會阻礙交變電流的流動,合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對齊的數(shù)據(jù)傳輸,同時在突發(fā)傳輸中,只需要首地址,同時分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進(jìn)行時序收斂。AXI是AMBA中一個新的高性能協(xié)議。
  • 驅(qū)動電流
    驅(qū)動電流
    +關(guān)注
  • 時鐘源
    時鐘源
    +關(guān)注
    時鐘源用來為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時鐘脈沖信號。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價格實現(xiàn)與其相當(dāng)性能,性價比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時間的倒數(shù),模擬量可以是電壓、電流等電信號,也可以是壓力、溫度、濕度、位移、聲音等非電信號;而AD分辨率指數(shù)字量變化一個最小量時模擬信號的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(9人)

1114502831 宋從超 唐老鴨 taylors liult03 阮如媛 藍(lán)天之約lc undefined_c1a 三四二一

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題

電機控制 DSP 氮化鎵 功率放大器 ChatGPT 自動駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無刷電機 FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機 PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機 SPWM 充電樁 IPM 機器視覺 無人機 三菱電機 ST
伺服電機 SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國民技術(shù) Microchip
瑞薩 沁恒股份 全志 國民技術(shù) 瑞芯微 兆易創(chuàng)新 芯??萍?/a> Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機 金升陽 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運算放大器 差動放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變增益放大器 隔離放大器
時鐘 時鐘振蕩器 時鐘發(fā)生器 時鐘緩沖器 定時器 寄存器 實時時鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚聲器放大器 音頻轉(zhuǎn)換器 音頻開關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計 溫度傳感器 壓力傳感器
電機驅(qū)動器 步進(jìn)驅(qū)動器 TWS BLDC 無刷直流驅(qū)動器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開關(guān)電源 步進(jìn)電機 無線充電 LabVIEW EMC PLC OLED 單片機
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語音識別 萬用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計:PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語言基礎(chǔ)教程,c語言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題