電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>嵌入式技術(shù)>嵌入式操作系統(tǒng)>VHDL模塊圖 - 基于VHDL的通信編碼波形的設(shè)計與仿真

VHDL模塊圖 - 基于VHDL的通信編碼波形的設(shè)計與仿真

上一頁12345下一頁全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

增量光電編碼器基本波形和電路

增量光電編碼器基本波形和電路 ? 采用旋轉(zhuǎn)式光電編碼器,把它的轉(zhuǎn)軸與重力測量儀中補償旋鈕軸相連。重力測量儀中補償旋鈕的角位移
2009-09-26 17:28:455680

利用了WEBENCH的波形仿真,進(jìn)行LED燈具設(shè)計

最近進(jìn)行了一款燈具的設(shè)計,利用了WEBENCH的波形仿真的強大功能,真對性的運用仿真設(shè)計,其實實際設(shè)計時用的仿真波形比較多,進(jìn)行的各種軟件對比和方案篩選也比較多,進(jìn)行的波形仿真的數(shù)據(jù)對比也較多,今天
2018-04-02 09:18:138214

VHDL仿真

我在用VHDL寫TESTBENCH進(jìn)行仿真時,出現(xiàn)了一個錯誤,說不能打開設(shè)計工程中一個后綴為 ”rdb“的文件,而且最終modelsim出來的波形也不對,求教高手,這是怎么回事,該怎么改
2013-12-07 10:56:17

VHDL特點是什么?在EDA仿真中的應(yīng)用是什么?

VHDL特點是什么VHDL語言在EDA仿真中的應(yīng)用
2021-04-29 06:01:15

vhdl實用教程pdf下載

使用。書中還附有大量程序設(shè)計和實驗、實踐方面的習(xí)題。本書可作為高等院校的電子工程、通信、工業(yè)自動化、計算機應(yīng)用技術(shù)、電子對抗、儀器儀表、數(shù)字信號處理、圖像處理等學(xué)科領(lǐng)域和專業(yè)的高年級本科生或研究生的VHDL
2008-06-04 10:31:29

DAP仿真

DAP仿真器 BURNER
2023-03-28 13:06:20

DDR SDRAM參考設(shè)計VHDL版(有詳細(xì)的文檔,仿真綜合文件)

DDR SDRAM參考設(shè)計VHDL版(有詳細(xì)的文檔,仿真綜合文件)File/Directory Description
2012-08-11 09:33:30

RS通信編碼器怎么進(jìn)行優(yōu)化設(shè)計?

本文以戰(zhàn)術(shù)軍用通信系統(tǒng)的首選碼RS(31,15)碼為例,對生成多項式進(jìn)行了優(yōu)化,并采用查表法的原理極大地提高了編碼器運算數(shù)據(jù)的能力,縮短了運算周期,最終利用VHDL語言編譯,在FPGA中實現(xiàn),得到了正確的RS編譯碼。
2021-05-06 09:27:33

Vivado webpack vhdl仿真波形未顯示

嗨,大家好,我遇到的問題如下:我在vivaldo webpack中運行vhdl模擬。當(dāng)運行模擬對話框彈出時,仿真似乎已啟動并運行。但是,運行模擬會顯示當(dāng)前時間:0fs并且不顯示波形。感謝幫助。謝謝
2020-04-07 14:42:53

[求助]如何將VHDL程序 軟件仿真波形

我有一些VHDL 編寫的程序請問如何對他們進(jìn)行軟件仿真  要求出波形
2010-05-07 09:36:22

quartus波形仿真報錯

一個四分頻設(shè)計VHDL文件編譯成功了,在進(jìn)行波形仿真時報錯怎么回事?版本是quartus II 15.0網(wǎng)上說要編譯鏈接庫,我也編譯了,還是報錯。新手求指教?。?!
2019-04-19 16:54:34

【FPGA干貨分享二】基于VHDL通信編碼波形的設(shè)計與仿真

所示。圖22 八種編碼波形總結(jié)1) 運用VHDL編寫以上八種編碼是可行的。2) 經(jīng)過觀察各模塊的仿真波形,符合各個編碼的特性。3) 通過整體程序的調(diào)試仿真,并在FPGA上實現(xiàn)了波形的鍵選。
2015-01-29 14:11:42

【FPGA設(shè)計實例】電子時鐘VHDL程序與仿真

本帖最后由 eehome 于 2013-1-5 10:03 編輯 電子時鐘VHDL程序與仿真1. 10進(jìn)制計數(shù)器設(shè)計與仿真(1)10進(jìn)制計數(shù)器VHDL程序--文件名:counter10.vhd
2012-03-05 15:13:19

【FPGA設(shè)計實例】自動售貨機VHDL程序與仿真

本帖最后由 eehome 于 2013-1-5 09:56 編輯 自動售貨機VHDL程序與仿真(1)自動售貨機VHDL程序如下:--文件名:pl_auto1.vhd。--功能:貨物信息存儲
2012-03-05 15:03:26

關(guān)于VHDL的testbench仿真問題

寫了很多VHDL文件和testbench文件,在仿真時信號的值總是U,請問有誰遇到過這種問題么,怎樣解決,謝謝各位大牛!
2017-09-29 17:20:08

基于VHDL語言含秒表數(shù)字鐘仿真和引腳設(shè)置

基于VHDL語言含秒表數(shù)字鐘仿真和引腳設(shè)置
2012-05-22 23:13:33

基于LabVIEW的通信仿真

導(dǎo)讀:采用LabVIEW軟件對應(yīng)用于無線信道中的4PSK的數(shù)字通信方式和主要通信過程的實際情況進(jìn)行計算機模擬仿真。主要通信過程為抽樣、量化、編碼、調(diào)制解調(diào)、糾錯編碼等,并給出了幾種調(diào)制解調(diào)的主要特性
2015-01-14 11:02:49

怎樣用VHDL寫TESTBENCH

,分別是:Simulator Behavioral Model(功能仿真)、Simulator Post-translate VHDL Model(翻譯后仿真)、Simulator Post-Map
2017-11-28 11:19:34

是否必須對任何vhdl模塊進(jìn)行編碼以與spi flash進(jìn)行通信,

我有一個關(guān)于使用spi flash配置Spartan 6的快速問題。根據(jù)spartan 6數(shù)據(jù)表,fpga可以使用典型的行業(yè)標(biāo)準(zhǔn)spi flash進(jìn)行配置。我是否必須對任何vhdl模塊進(jìn)行編碼
2019-05-24 13:10:08

請問一下VHDL編碼中面積優(yōu)化常見問題是什么?

VHDL編碼中面積優(yōu)化常見問題是什么?
2021-05-06 08:20:54

請問如何定義VHDL設(shè)計的功能仿真

大家好,您如何定義VHDL設(shè)計的功能仿真?謝謝你的幫助!
2020-04-23 10:06:36

高速DAP仿真

高速DAP仿真器 BURNER
2023-03-28 13:06:20

(2)打兩拍systemverilog與VHDL編碼 精選資料分享

2打兩拍systemverilog與VHDL編碼1 本章目錄1)FPGA簡介2)SystemVerilog簡介3)VHDL簡介4)打兩拍verilog編碼5)打兩拍VHDL編碼6)結(jié)束語2 FPGA
2021-07-26 06:19:28

TEXTIO及其在VHDL仿真中的應(yīng)用

TEXTIO 在VHDL 仿真與磁盤文件之間架起了橋梁,使用文本文件擴展VHDL仿真功能。本文介紹TEXTIO 程序包,以一個加法器實例說明TEXTIO 的使用方法,最后使用ModelSim對設(shè)計進(jìn)行仿真
2009-04-15 10:37:2635

漢明糾錯編碼器實例(VHDL源代碼)

漢明糾錯嗎編碼器實例(VHDL源代碼):
2009-05-27 10:11:1543

混合信號系統(tǒng)的VHDL-AMS建模與仿真分析

剖析硬件描述語言VHDL-AMS 的新特性。通過對A/D 轉(zhuǎn)換器和D/A 轉(zhuǎn)換器進(jìn)行建模和仿真分析可以看出,VHDL-AMS 突破了VHDL 只能設(shè)計數(shù)字電路的限制,使得VHDL 可以應(yīng)用于模擬以及混合信
2009-07-08 09:49:2322

基于CPLD的雙音多頻信號PCM編碼的設(shè)計

本文介紹了可編程邏輯器件(PLD)在雙音多頻信號的PCM 編碼中的應(yīng)用。從雙音多頻信號的PCM 編碼到PCM 編碼信號的輸出,利用CPLD、硬件描述語言VHDL 及MATLAB 來實現(xiàn)整個功能,仿真
2009-08-14 11:40:1844

VHDL編碼中面積優(yōu)化探討

VHDL 包含的語句非常豐富,不同的描述可以實現(xiàn)同樣功能的電路,但可能在對資源的利用率上存在差異。根據(jù)應(yīng)用MaxplusⅡ軟件進(jìn)行VHDL 語言代碼編寫的經(jīng)驗,結(jié)合相應(yīng)實例,闡述
2009-08-19 11:18:4828

基于公共數(shù)據(jù)結(jié)構(gòu)的EDA仿真波形分析技術(shù)

基于公共數(shù)據(jù)結(jié)構(gòu)的EDA仿真波形分析技術(shù):現(xiàn)有的EDA仿真工具缺乏對仿真數(shù)據(jù)的分析處理功能,影響了仿真分析的效率。本文提出一種基于公共波形數(shù)據(jù)結(jié)構(gòu)的仿真波形自動分析技
2009-10-23 16:49:5121

電基于公共數(shù)據(jù)結(jié)構(gòu)的EDA仿真波形分析技術(shù)

電基于公共數(shù)據(jù)結(jié)構(gòu)的EDA仿真波形分析技術(shù) 摘要: 現(xiàn)有的EDA仿真工具缺乏對仿真數(shù)據(jù)的分析處理功能,影響了仿真分析的效率。本文提出一種基于公共波形數(shù)
2009-12-07 13:54:1612

異步FIFO的VHDL設(shè)計

給出了一個利用格雷碼對地址編碼的羿步FIFO 的實現(xiàn)方法,并給出了VHDL 程序,以解決異步讀寫時鐘引起的問題。
2010-07-16 15:15:4226

VHDL實現(xiàn)PCM碼解調(diào)程序模塊設(shè)計

依據(jù)VHDL程序設(shè)計出針對現(xiàn)場可編程門陣列(FPGA)的脈沖編碼調(diào)制(PCM)碼解調(diào)電路。解調(diào)數(shù)據(jù)過程分為位同步、字節(jié)同步、幀同步和串并轉(zhuǎn)換,并對相關(guān)程序模塊進(jìn)行仿真。通過調(diào)
2010-12-21 10:12:3675

多功能波形發(fā)生器VHDL程序與仿真

多功能波形發(fā)生器VHDL程序與仿真 --文件名:mine4.vhd。 --功能:實現(xiàn)4種常見波形正弦、三角、鋸齒、方波(A、B)的頻率、幅
2008-06-27 10:43:392628

出租車計價器VHDL程序與仿真

出租車計價器VHDL程序與仿真 --文件名:taxi.hd。--功能:出租車計價器。--最后修
2008-06-27 10:49:292231

LCD控制VHDL程序與仿真

LCD控制VHDL程序與仿真 1. FPGA驅(qū)動LCD顯示中文字符“年”程序--文件名:lcd_driver.vhd。--功能:FGAD驅(qū)動LCD顯示中文字符“年”。--最后修改日期:2004.3.24。
2008-06-27 11:20:031545

LED控制VHDL程序與仿真

LED控制VHDL程序與仿真分別介紹采用FPGA對LED進(jìn)行靜態(tài)和動態(tài)顯示的數(shù)字時鐘控制程序。1. 例1:FPGA驅(qū)動LED靜態(tài)顯示--文件名:decoder.vhd。--功能:譯碼輸出
2008-06-27 11:20:401418

編碼波形檢測器電路圖

編碼波形檢測器電路圖
2009-06-10 10:07:431923

VHDL語言在CPLD上實現(xiàn)串行通信

摘 要: 串行通信是實現(xiàn)遠(yuǎn)程測控的重要手段。采用VHDL語言在CPLD上實現(xiàn)了串行通信,完全可以脫離單片機使用。 關(guān)鍵詞:
2009-06-20 12:43:50570

VHDL設(shè)計專用串行通信芯片

VHDL設(shè)計專用串行通信芯片 一種專用串行同步通信芯片(該芯片內(nèi)部結(jié)構(gòu)和操作方式以INS8250為參考)的VHDL設(shè)計及CPLD實現(xiàn),著重介紹了用VHDL及CPLD設(shè)計專用通信芯片的
2009-10-12 19:07:481701

#硬聲創(chuàng)作季 圣誕燈仿真波形Video_2021-12-17_222229

仿真波形
Mr_haohao發(fā)布于 2022-10-21 10:57:48

增量光電編碼器基本波形和電路

增量光電編碼器基本波形和電路圖 增量編碼器是以脈沖形式輸出的傳感器,其碼盤比絕對編碼器碼盤要簡單得多
2009-10-29 22:55:062244

基于VHDL語言的按鍵消抖電路設(shè)計及仿真

基于VHDL語言的按鍵消抖電路設(shè)計及仿真  按鍵開關(guān)是電子設(shè)備實現(xiàn)人機對話的重要器件之一。由于大部分按鍵是機械觸點,在觸點閉合和斷開時都會產(chǎn)生抖動。為避免
2010-01-04 10:39:135588

基于VHDL的4PSK的設(shè)計與實現(xiàn)

基于VHDL的4PSK的設(shè)計與實現(xiàn)  1 引言   實際通信中的許多信道都不能直接傳送基帶信號,必須使用基帶信號控制載波波形的某些參量,使得這些參量隨基帶信
2010-02-26 13:23:142586

基于VHDL的電表抄表器設(shè)計

文章在MAX+PLUS II 開發(fā)環(huán)境下采用VHDL 語言設(shè)計并實現(xiàn)了電表抄表器討論了系統(tǒng)的四個組成模塊的設(shè)計和VHDL 的實現(xiàn)每個模塊采用RTL 級描述整體的生成采用圖形輸入法通過波形仿真下載芯
2011-09-23 17:56:1140

基于Multisim的VHDL建模與仿真

本文主要分析了QuartusⅡ的特點和虛擬仿真軟件的優(yōu)越性,以交通燈控制系統(tǒng)為例,介紹了在虛擬仿真軟件Multisim平臺上使用VHDL硬件描述語言進(jìn)行程序編寫、電路建模和仿真的方法。
2012-10-25 14:58:319562

基于Xilinx FPGA和VHDL的數(shù)字秒表設(shè)計與仿真實現(xiàn)

文中著重介紹了一種基于FPGA利用VHDL硬件描述語言的數(shù)字秒表設(shè)計方法,在設(shè)計過程中使用基于VHDL的EDA工具M(jìn)odelSim對各個模塊仿真驗證,并給出了完整的源程序和仿真結(jié)果。
2012-12-25 11:19:246071

基于VHDL的QPSK調(diào)制解調(diào)系統(tǒng)設(shè)計與仿真

文中詳細(xì)介紹了QPSK技術(shù)的工作原理和QPSK調(diào)制、解調(diào)的系統(tǒng)設(shè)計方案,并通過VHDL語言編寫調(diào)制解調(diào)程序和QuartusII軟件建模對程序進(jìn)行仿真,通過引腳鎖定,下載程序到FPGA芯片EP1K30TC144
2013-06-08 17:47:1991

基于vhdl的DDS設(shè)計簡單的波形發(fā)生器

基于vhdl的DDS設(shè)計 簡單的波形發(fā)生器 可以產(chǎn)生正弦波,方波,三角波,鋸齒波
2016-01-12 17:55:0230

通信原理MATLAB仿真教程_部分1

系統(tǒng)地介紹了通信原理MATLAB仿真的基本思想與方法,重點討論了MATLAB對常見信號與 線性系統(tǒng)、模擬調(diào)制、模擬信號的數(shù)字傳輸、數(shù)字信號的基帶傳輸、數(shù)字信號的頻帶傳輸、信道容量和編碼以及擴頻通信系統(tǒng)的仿真算法、流程與程序編制的思路過程。
2016-03-31 17:09:397

通信原理MATLAB仿真教程_部分2

系統(tǒng)地介紹了通信原理MATLAB仿真的基本思想與方法,重點討論了MATLAB對常見信號與 線性系統(tǒng)、模擬調(diào)制、模擬信號的數(shù)字傳輸、數(shù)字信號的基帶傳輸、數(shù)字信號的頻帶傳輸、信道容量和編碼以及擴頻通信系統(tǒng)的仿真算法、流程與程序編制的思路過程。
2016-03-31 17:17:556

DSP之 FSK調(diào)制與解調(diào)VHDL程序及仿真教程

DSP之 FSK調(diào)制與解調(diào)VHDL程序及仿真教程,很好的DSP自學(xué)資料,快來學(xué)習(xí)吧。
2016-04-15 17:37:3512

DSP之PSK調(diào)制與解調(diào)VHDL程序及仿真教程

DSP之 PSK調(diào)制與解調(diào)VHDL程序及仿真教程,很好的DSP自學(xué)資料,快來學(xué)習(xí)吧。
2016-04-15 17:42:4115

DSP之MASK調(diào)制VHDL程序及仿真

DSP之MASK調(diào)制VHDL程序及仿真,很好的DSP自學(xué)資料,快來學(xué)習(xí)吧。
2016-04-15 17:42:4116

DSP之MPSK調(diào)制與解調(diào)VHDL程序與仿真

DSP之MPSK調(diào)制與解調(diào)VHDL程序與仿真,很好的DSP自學(xué)資料,快來學(xué)習(xí)吧。
2016-04-15 17:42:414

VHDL波形發(fā)生器程序

VHDL波形發(fā)生器程序,感興趣的小伙伴們可以瞧一瞧。
2016-11-11 17:17:123

VHDL設(shè)計專用串行通信芯片

VHDL設(shè)計專用串行通信芯片,下來看看。
2016-12-20 18:02:5010

SPWM波形protues仿真程序 51單片機SPWM波形產(chǎn)生代

SPWM波形protues仿真程序 51單片機SPWM波形產(chǎn)生代碼 protues仿真
2017-01-14 22:32:46273

ISim/modelsim仿真波形窗口小技巧

  在編寫verilog模塊后,很重要的一部分就是對所編寫的模塊進(jìn)行仿真。而在仿真過程中,很重要的一部分就是觀察波形
2017-09-15 17:40:4313

紅外線編碼波形的偵測方法及偵測系統(tǒng)的設(shè)計與實現(xiàn)

文章給出了一種偵測紅外線編碼波形的方法,由單片機AT89C2051作為前端檢測處理器,通過串行口和通用微機進(jìn)行通信,由微機對編碼波形進(jìn)行顯示、分析、存儲,構(gòu)成功能可由軟件擴充的紅外線編碼波形的偵測
2017-11-18 11:13:1010

modelsim保存波形操作方法解析

在數(shù)字電路設(shè)計中(Verilog or VHDL),ModelSim是常用的仿真工具。當(dāng)我們仿真大型的工程時,往往需要保留仿真波形,以便分析和以后查看。這就需要學(xué)習(xí)在ModelSim里面如何保存仿真波形及調(diào)用波形。
2017-11-24 11:13:2415022

saber仿真軟件波形如何處理分析、saber仿真軟件如何畫電路圖

 saber仿真電路最主要的就是看電路某些點的電壓電流波形,當(dāng)仿真后,得到波形了,波形如何處理才更好得分析電路呢?下面介紹下。
2017-12-08 11:37:4622521

VHDL語言設(shè)計數(shù)據(jù)傳輸系統(tǒng)中的HDB3編碼

將基于VHDL的HDB3編碼用在光纖通信系統(tǒng)中作為誤碼儀測試誤碼的HDB3轉(zhuǎn)換器,能滿足實際測試的需要。且運用基于VHDL的可編程芯片開發(fā)技術(shù)將相關(guān)的信號處理電路進(jìn)行硬件描述,并用CPLD/FPGA
2018-02-08 04:38:0012528

VHDL-AMS的特性、仿真分析與在控制系統(tǒng)中的應(yīng)用

本文介紹VHDL-AMS的新概念和新特性。通過對PID控制原理進(jìn)行數(shù)學(xué)分析,建立PID控制器的數(shù)學(xué)模型,實現(xiàn)PID控制器的VHDL-AMS行為級建模,并進(jìn)行仿真分析。
2018-11-14 08:03:002732

如何設(shè)置EE-Sim仿真并查看波形

使用仿真設(shè)置窗口來運行六種仿真,可以根據(jù)需要來改變一系列仿真設(shè)置并可以查看仿真完成后的波形。
2018-10-10 03:03:003624

8線3線優(yōu)先編碼器的設(shè)計使用資料說明

復(fù)習(xí)編碼器的原理,掌握編碼器的設(shè)計實現(xiàn)方法,設(shè)計實現(xiàn)數(shù)字系統(tǒng)設(shè)計中常用的8線-3線優(yōu)先編碼器,逐步學(xué)會熟練運用MAX+PLUSⅡ或Quartus II軟件,熟悉EDA的VHDL程序設(shè)計方法、學(xué)習(xí)掌握
2019-01-29 17:20:4929

如何使用智能函數(shù)發(fā)生器進(jìn)行VHDL的設(shè)計與仿真

以函數(shù)信號發(fā)生器的功能為設(shè)計對象,運用EDA技術(shù)的設(shè)計方法,進(jìn)行各種波形的輸入設(shè)計、設(shè)計處理,項目校驗和器件編程。在VHDL語言的編寫中按照行為描述,寄存器傳輸描述,實現(xiàn)了兒種波形的軟件設(shè)計和具體
2019-05-24 14:19:403

使用VHDL語言設(shè)計比較器與實時仿真的資料合集免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL語言設(shè)計比較器與實時仿真的資料合集免費下載。
2019-06-03 08:00:000

vhdl語言怎么仿真_vhdl語言的基本結(jié)構(gòu)

VHDL程序中,實體(ENTITY)和結(jié)構(gòu)體(ARCHITECTURE)這兩個基本結(jié)構(gòu)是必須的,他們可以構(gòu)成最簡單的VHDL程序。通常,最簡單的VHDL程序結(jié)構(gòu)中還包含另一個最重要的部分,即庫(LIBRARY)和程序包(PACKAGE)。
2020-04-23 15:43:384224

FSK的調(diào)制與解調(diào)的VHDL程序和仿真說明

本文檔的主要內(nèi)容詳細(xì)介紹的是FSK的調(diào)制與解調(diào)的VHDL程序和仿真說明。
2020-12-18 16:44:0023

CPSK調(diào)制的VHDL程序和仿真資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是CPSK調(diào)制的VHDL程序和仿真資料免費下載。
2020-12-18 16:44:168

4個URAT VHDL程序與仿真的資料合集

本文檔的主要內(nèi)容詳細(xì)介紹的是4個URAT VHDL程序與仿真的資料合集包括了:1. 頂層程序與仿真,2. 波特率發(fā)生器程序與仿真,3. UART發(fā)送器程序與仿真,4. UART接收器程序與仿真
2020-12-18 16:44:176

電梯控制系統(tǒng)的VHDL程序與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是電梯控制系統(tǒng)的VHDL程序與仿真免費下載。
2020-12-18 16:46:0032

使用FPGA實現(xiàn)自動售貨機的VHDL程序與仿真資料

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實現(xiàn)自動售貨機的VHDL程序與仿真資料。
2020-12-21 17:10:0023

使用FPGA實現(xiàn)LCD控制的VHDL程序與仿真資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實現(xiàn)LCD控制的VHDL程序與仿真資料免費下載。
2021-01-18 17:19:0810

使用FPGA實現(xiàn)LED控制的VHDL程序與仿真資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用FPGA實現(xiàn)LED控制的VHDL程序與仿真資料免費下載。
2021-01-18 17:32:4612

TLC5510的VHDL程序與仿真資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是TLC5510的VHDL程序與仿真資料免費下載。
2021-01-19 14:00:0813

DAC0832接口電路的VHDL程序與仿真免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是DAC0832接口電路的VHDL程序與仿真免費下載。
2021-01-19 14:00:007

TLC7524接口電路的VHDL程序與仿真免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是TLC7524接口電路的VHDL程序與仿真免費下載。
2021-01-19 14:00:104

URAT VHDL的程序與仿真詳細(xì)資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是URAT VHDL的程序與仿真詳細(xì)資料說明。
2021-01-19 14:00:1411

電子時鐘VHDL的程序與仿真資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是電子時鐘VHDL的程序與仿真資料免費下載。
2021-01-20 13:44:1435

電梯控制器的VHDL程序與仿真資料合集

本文檔的主要內(nèi)容詳細(xì)介紹的是電梯控制器的VHDL程序與仿真資料合集免費下載。
2021-01-20 13:44:1111

自動售貨機的VHDL程序與仿真資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是自動售貨機的VHDL程序與仿真資料免費下載
2021-01-20 14:26:0016

多功能波形發(fā)生器的VHDL程序與仿真資料免費下載

本文檔的主要內(nèi)容詳細(xì)介紹的是多功能波形發(fā)生器的VHDL程序與仿真資料免費下載。
2021-01-20 14:26:008

如何建立VHDL程序的仿真模型和平臺及仿真過程詳細(xì)說明

 前面已經(jīng)講述了VHDL語法和建模,VHDL程序作為硬件的描述語言,可以實現(xiàn)仿真測試,包括RTL門級仿真和布線布局后仿真。通過仿真,可以很容易驗證VHDL程序以及其描述硬件的正確性。本章將講述如何建立VHDL程序的仿真模型和平臺,以及ⅤHDL語言的具體仿真過程
2021-01-20 17:03:5414

VHDL與Verilog硬件描述語言如何用TestBench來進(jìn)行仿真

VHDL與Verilog硬件描述語言在數(shù)字電路的設(shè)計中使用的非常普遍,無論是哪種語言,仿真都是必不可少的。而且隨著設(shè)計復(fù)雜度的提高,仿真工具的重要性就越來越凸顯出來。在一些
2021-08-04 14:16:443307

VHDL-AMS格式熱電聯(lián)合仿真

基于Simcenter Flotherm BCI-ROM技術(shù),Simcenter Flotherm可以進(jìn)行3D電子產(chǎn)品以VHDL-AMS格式進(jìn)行電熱聯(lián)合仿真,同時電子產(chǎn)品數(shù)學(xué)熱模型可轉(zhuǎn)化為FMU格式
2021-08-13 09:25:591833

如何夾帶modelsim的仿真波形白底黑線

Modelsim使用技巧—波形白底黑線設(shè)置 在發(fā)表期刊或者論文時,我們需要夾帶modelsim的仿真波形在我們的論文里,在modelsim默認(rèn)模式下的波形一般是黑底綠線白字,如圖1所示。打印出來幾乎
2021-08-26 11:23:123451

基于Systemview的脈沖編碼調(diào)制系統(tǒng)仿真

基于Systemview的脈沖編碼調(diào)制系統(tǒng)仿真(測試測量儀器設(shè)備)-該文檔為基于Systemview的脈沖編碼調(diào)制系統(tǒng)仿真講解文檔,是一份不錯的參考資料,感興趣的可以下載看看,,,,,,,,,,,,,,,,,
2021-09-30 12:14:1014

基于單片機四種波形發(fā)生器仿真設(shè)計-畢設(shè)課設(shè)

基于單片機四種波形發(fā)生器仿真設(shè)計-畢設(shè)課設(shè)
2021-11-22 18:51:0745

淺談增量編碼器的A/B輸出的波形

增量編碼器的A/B輸出的波形一般有兩種,一種是有陡直上升沿和陡直下降沿的方波信號,一種是緩慢上升與下降,波形類似正弦曲線的Sin/Cos曲線波形信號輸出,A與B相差1/4T周期90度相位,如果A是類正弦Sin曲線,那B就是類余弦Cos曲線。
2022-10-09 14:32:422240

在PSIM中進(jìn)行VHDL的聯(lián)合仿真

目前市面上能支持HDL語言聯(lián)合仿真的電源仿真軟件并不多,能支持VHDL聯(lián)合仿真的就更少了,PSIM軟件支持VHDL及verilogHDL聯(lián)合仿真,這樣對于快速驗證HDL實現(xiàn)的新想法是十分便捷的。
2023-05-23 11:38:101714

大學(xué)畢業(yè)設(shè)計一席談之九 多種編碼方式的通信系統(tǒng)仿真(6) RS碼聯(lián)合卷積碼仿真

下的matlab仿真(1) 大學(xué)畢業(yè)設(shè)計一席談之三十 級聯(lián)編碼方式下的matlab仿真(2) 大學(xué)畢業(yè)設(shè)計一席談之三十 級聯(lián)編碼方式下的mat ? lab仿真(3)測試卷積交織 大學(xué)畢業(yè)設(shè)計一席談之九 多種編碼方式的通信系統(tǒng)仿真(4) RS碼仿真 大學(xué)畢業(yè)設(shè)計一席談之
2023-05-25 17:55:01326

記錄VCS仿真的IP核只有VHDL文件的解決方法

使用VCS仿真Vivado里面的IP核時,如果Vivado的IP核的仿真文件只有VHDL時,仿真將變得有些困難,VCS不能直接仿真VHDL
2023-06-06 11:15:351328

已全部加載完成