電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>HDL語言及源代碼>基于FPGA和PLL的倍分頻時(shí)鐘的實(shí)現(xiàn)

基于FPGA和PLL的倍分頻時(shí)鐘的實(shí)現(xiàn)

1234下一頁全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

【ZYNQ Ultrascale+ MPSOC FPGA教程】第五章Vivado下PLL實(shí)驗(yàn)

PLL(phase-locked loop),即鎖相環(huán)。是FPGA中的重要資源。由于一個(gè)復(fù)雜的FPGA系統(tǒng)往往需要多個(gè)不同頻率,相位的時(shí)鐘信號(hào)。所以,一個(gè)FPGA芯片中PLL的數(shù)量是衡量FPGA芯片
2021-01-22 09:41:114667

【紫光同創(chuàng)國產(chǎn)FPGA教程】【第四章】PDS下PLL實(shí)驗(yàn)

很多初學(xué)者看到板上只有一個(gè)50Mhz時(shí)鐘輸入的時(shí)候都產(chǎn)生疑惑,時(shí)鐘怎么才50Mhz?如果要工作在100Mhz、150Mhz怎么辦?在很多FPGA芯片內(nèi)部都集成了PLL,其他廠商可能不叫PLL,但是
2021-02-04 13:22:006661

XILINX FPGA IP之MMCM PLL DRP時(shí)鐘動(dòng)態(tài)重配詳解

上文XILINX FPGA IP之Clocking Wizard詳解說到時(shí)鐘IP的支持動(dòng)態(tài)重配的,本節(jié)介紹通過DRP進(jìn)行MMCM PLL的重新配置。
2023-06-12 18:24:035528

Xilinx 7系列FPGA中MMCM和PLL的區(qū)別

7系列FPGA包含最多24個(gè)CMT塊,CMT具體的分布和與其他時(shí)鐘資源的關(guān)系請(qǐng)參考本合集(FPGA應(yīng)用開發(fā))的上一篇文章。本文主要介紹CMT內(nèi)部MMCM和PLL的區(qū)別以及在實(shí)際開發(fā)中怎么使用CMT,怎么實(shí)現(xiàn)時(shí)鐘區(qū)域,第一次讀者最好先閱讀上一篇文章——解剖時(shí)鐘結(jié)構(gòu)篇。
2023-11-17 17:08:111347

FPGA時(shí)鐘的用法

生成時(shí)鐘包括自動(dòng)生成時(shí)鐘(又稱為自動(dòng)衍生時(shí)鐘)和用戶生成時(shí)鐘。自動(dòng)生成時(shí)鐘通常由PLL或MMCM生成,也可以由具有分頻功能的時(shí)鐘緩沖器生成如7系列FPGA中的BUFR、UltraScale系列
2024-01-11 09:50:09400

029lan的pwm預(yù)分頻器設(shè)置最少要為1嗎,即2分頻,設(shè)為0時(shí)沒有輸出是為什么?

如題,這樣一來,pwm的時(shí)鐘哪怕是來自HCLK(029不支持PLL作為pwm時(shí)鐘)最高50MHZ,經(jīng)預(yù)分頻器最少2分頻的話,時(shí)鐘最高只有25Mhz了?M0518pwm的預(yù)分頻器可以設(shè)為0,不分頻,并且可以用PLL作為時(shí)鐘,最高頻率可以達(dá)到100Mhz,相差4?
2023-06-19 08:14:45

FPGA PLL輸出的時(shí)鐘信號(hào)電壓是多少?各位大俠賜教??!

我的用的FPGA是Cyclone Iv。其中PLL的數(shù)字電源是1.2v,模擬電源是2.5v。 我想用PLL輸出一個(gè)差分的時(shí)鐘信號(hào)作為前端AD的采樣時(shí)鐘,只是現(xiàn)在不知道pll 輸出的時(shí)鐘信號(hào)電壓是多少
2014-11-06 23:20:06

FPGA之奇偶分頻

初學(xué)FPGA,聽說分頻貌似挺重要,是必備的基礎(chǔ)技能。小白的我今天就從奇偶分頻開始我的FPGA學(xué)習(xí)成長之路偶數(shù)分頻很簡單的哈,打字蠻累的,直接上代碼/*************6分頻
2016-03-30 11:35:51

FPGA固件開發(fā)----如何實(shí)現(xiàn)分頻器模塊

分頻器模塊實(shí)現(xiàn)的基本原理就是設(shè)計(jì)一個(gè)工作在系統(tǒng)時(shí)鐘下的計(jì)數(shù)器,循環(huán)地遞減或者遞加計(jì)數(shù),在某個(gè)計(jì)數(shù)的固定值將輸出翻轉(zhuǎn),即可實(shí)現(xiàn)時(shí)鐘分頻的功能。例如,實(shí)驗(yàn)板上的系統(tǒng)時(shí)鐘是 50MHz,而所需的讀寫周期
2018-11-15 09:25:35

FPGA提高篇——禁止使用分頻時(shí)鐘、計(jì)數(shù)器時(shí)鐘

學(xué)習(xí)高手的FPGA編程程序,發(fā)現(xiàn)他寫的程序怎么這么冗余呢?懷著疑問,直到第二次閱讀另一高手的FPGA程序,才開始懷疑是自己錯(cuò)了,原來使用時(shí)鐘使能而不直接使用分頻時(shí)鐘是原因的。查閱相關(guān)資料整理了一下
2018-08-08 11:13:26

FPGA分頻器設(shè)計(jì)

誰幫忙設(shè)計(jì)一下模數(shù)轉(zhuǎn)換芯片ADC0809以及串行通信部分均需要有相應(yīng)的時(shí)鐘驅(qū)動(dòng)。而此次選擇的Altera CycloneⅡ系列FPGA中的EP2C5T144C8的系統(tǒng)時(shí)鐘為20MHz,因此根據(jù)需要
2014-05-09 11:53:23

FPGA的全局時(shí)鐘是什么?

FPGA時(shí)鐘問題 2010-06-11 15:55:39分類: 嵌入式1.FPGA的全局時(shí)鐘是什么?FPGA的全局時(shí)鐘應(yīng)該是從晶振分出來的,最原始的頻率。其他需要的各種頻率都是在這個(gè)基礎(chǔ)上利用PLL或者其他分頻手段得到的。
2021-07-29 09:25:57

fpga應(yīng)用篇(三):你可能用了假分頻

分頻。讓我們來看一個(gè)例子:假如主時(shí)鐘50M,UART通信的波特率為9600,我們以16的波特率采樣,以保證數(shù)據(jù)準(zhǔn)確,我們需要計(jì)50M/(9600*16)個(gè)主時(shí)鐘,即大約326個(gè)主時(shí)鐘才輸出一個(gè)分頻時(shí)鐘
2017-04-07 21:03:07

時(shí)鐘分頻電路實(shí)現(xiàn)精講

時(shí)鐘分頻電路實(shí)現(xiàn)精講
2013-04-18 12:07:20

時(shí)鐘信號(hào)的產(chǎn)生

是基于一個(gè)外部的晶振時(shí)鐘,能夠先對(duì)晶振進(jìn)行整數(shù)倍R分頻處理,作為PLL內(nèi)部的基準(zhǔn)時(shí)鐘,內(nèi)部的環(huán)路可以對(duì)這個(gè)基準(zhǔn)時(shí)鐘進(jìn)行N的倍頻,因此可以得到外接晶振頻率F的N/R的頻率。鎖相環(huán)PLL的工作原理框圖
2019-06-06 04:20:03

時(shí)鐘設(shè)備設(shè)計(jì)使用 I2C 可編程小數(shù)鎖相環(huán) (PLL)

應(yīng)用系統(tǒng)的子系統(tǒng),例如處理器、FPGA、數(shù)據(jù)轉(zhuǎn)換器等。此類復(fù)雜系統(tǒng)需要?jiǎng)討B(tài)更新參考時(shí)鐘的頻率,以實(shí)現(xiàn) PCIe 和以太網(wǎng)等其它諸多協(xié)議。時(shí)鐘 IC 屬于 I2C 從器件,需要主控制器來配置內(nèi)部 PLL
2021-06-29 07:00:00

Actel FPGA PLL鎖相環(huán)倍頻分頻問題

Actel FPGA PLL鎖相環(huán)的最大能達(dá)到幾倍頻幾分頻?我在網(wǎng)上查了一下有人說是20頻,10分頻,但是我沒有在芯片手冊(cè)里面找到資料,想要確認(rèn)一下。
2014-12-04 11:25:15

CH32V208時(shí)鐘分頻參數(shù)會(huì)關(guān)系到pll的結(jié)果嗎?

16m*15頻,然后使用usb的時(shí)候需要設(shè)置五分頻,但是現(xiàn)在的問題是,我已經(jīng)開到了16m*15頻,但是不置位11的話,pll的實(shí)際時(shí)鐘只有120m,到不了240m。
2022-10-18 06:33:13

CPLD時(shí)鐘分頻問題

作為一個(gè)新的系統(tǒng)時(shí)鐘,所有的設(shè)計(jì)都是基于這個(gè)時(shí)鐘來設(shè)計(jì)呢在編譯時(shí)候會(huì)出現(xiàn)警告,是一個(gè)關(guān)于buff的警告并且仿真時(shí)正確的,但是下載到硬件發(fā)現(xiàn)不是很符合設(shè)計(jì)要求查閱資料說有的CPLD里面會(huì)有PLL模塊,可是有的么有。沒有的用時(shí)鐘分頻后的信號(hào)作為新的時(shí)鐘觸發(fā)是不科學(xué)的,所以在此詢問各位大神。給個(gè)經(jīng)驗(yàn)說法吧
2013-04-25 09:39:35

IP CORE 之 PLL- ISE 操作工具

不多說,上貨。IP CORE 之 PLL- ISE 操作工具本篇實(shí)現(xiàn)基于叁芯智能科技的SANXIN -B02 FPGA開發(fā)板,如有入手開發(fā)板,可以登錄官方淘寶店購買,還有配套的學(xué)習(xí)視頻。Xilinx
2023-04-06 16:04:21

STM32 時(shí)鐘樹的學(xué)習(xí)

選擇位6 RTC時(shí)鐘選擇位7 PLL1分頻數(shù)寄存器8 PLL1頻寄存器9 系統(tǒng)時(shí)鐘選擇位10USB分頻寄存器11AHB分頻寄存器12APB1分頻寄存器13AHB總線14APB1外設(shè)總線15APB2分頻
2013-05-15 22:21:21

STM32時(shí)鐘系統(tǒng)小結(jié)

PLL輸出端獲取,可以選擇為1.5分頻或者1分頻,也就是,當(dāng)需要使用USB模塊時(shí),PLL必須使能,并且時(shí)鐘頻率配置為48MHz或72MHz。另外,STM32還可以選擇一個(gè)時(shí)鐘信號(hào)輸出到MCO腳
2016-06-18 09:21:59

STM32時(shí)鐘詳解

(RCC_HCLK_Div2); //設(shè)置APB1時(shí)鐘分頻RCC_ADCCLKConfig(RCC_PCLK2_Div6); //設(shè)置ADC時(shí)鐘分頻//設(shè)置PLL時(shí)鐘將8M時(shí)鐘9頻到72M
2018-07-19 03:40:25

STM32外部時(shí)鐘是怎樣通過PLL分頻得到PLLCLK的整個(gè)流程的

STM32外部時(shí)鐘是怎樣通過PLL分頻得到PLLCLK的整個(gè)流程的?
2021-11-24 07:32:37

TN305_EAGLE PLL用戶手冊(cè)

EG_PHY_PLLFPGA 內(nèi)部的時(shí)鐘鎖相環(huán)硬核 IP 模塊,Eagle 系列 FPGA 內(nèi)嵌 4 個(gè)多功能鎖相環(huán)(PLL0~PLL3),分布在器件四角,可實(shí)現(xiàn)高性能時(shí)鐘管理功能。每個(gè)
2022-10-27 07:45:54

TN409_ELF2 PLL用戶手冊(cè)

ELF2 系列 FPGA 內(nèi)嵌 1 個(gè)多功能鎖相環(huán)(PLL),可實(shí)現(xiàn)高性能時(shí)鐘管理功能。可以實(shí)現(xiàn)時(shí)鐘分頻、倍頻、展頻、小數(shù)分頻、占空比調(diào)整、輸入和反饋時(shí)鐘對(duì)準(zhǔn)、多相位時(shí)鐘輸出等功能。PLL 參考時(shí)鐘
2022-10-28 06:29:54

TN604_EF3 PLL模塊用戶手冊(cè)

EF3 FPGA 內(nèi)嵌 2 個(gè)多功能鎖相環(huán)(PLL),可以實(shí)現(xiàn)時(shí)鐘分頻、倍頻、占空比調(diào)整、輸入和反饋時(shí)鐘對(duì)準(zhǔn)、多相位時(shí)鐘輸出等功能。PLL 的架構(gòu)如圖 1 所示,包含鑒頻鑒相器(PFD)、壓控振蕩器(VCO)、低通濾波器(LPF)等基本電路。
2022-10-27 09:07:03

Xilinx FPGA入門連載23:PLL實(shí)例之功能簡介

鎖相環(huán)路就可以實(shí)現(xiàn)這個(gè)目的。而我們?cè)谶@里所說的PLL,沒有上面一段定義那么晦澀難懂,大家記住PLL一個(gè)最主要的功能,即能夠?qū)斎氲幕鶞?zhǔn)時(shí)鐘信號(hào)進(jìn)行一定范圍內(nèi)的分頻或者倍頻,從而產(chǎn)生多個(gè)輸出時(shí)鐘信號(hào)供芯片
2015-11-10 08:44:06

FPGA開源教程連載】第十六章 PLL鎖相環(huán)介紹與簡單應(yīng)用

進(jìn)行調(diào)節(jié),還可以對(duì)同一PLL生成的多個(gè)時(shí)鐘的相位進(jìn)行控制,以保證兩個(gè)時(shí)鐘域的邏輯工作時(shí)有確定的時(shí)間差。具體鎖相環(huán)是通過何種方式實(shí)現(xiàn)對(duì)時(shí)鐘信號(hào)的分頻和倍頻的,這里我們不做講解,有興趣的朋友可以自己查閱相關(guān)
2017-01-05 00:00:52

【工程源碼】確定FPGA的專用時(shí)鐘輸入腳與PLL對(duì)應(yīng)關(guān)系

本文和設(shè)計(jì)代碼由FPGA愛好者小梅哥編寫,未經(jīng)作者許可,本文僅允許網(wǎng)絡(luò)論壇復(fù)制轉(zhuǎn)載,且轉(zhuǎn)載時(shí)請(qǐng)標(biāo)明原作者。FPGA中有若干個(gè)鎖相環(huán)PLL,這些鎖相環(huán)能夠?qū)ν獠枯斎氲?b class="flag-6" style="color: red">時(shí)鐘信號(hào)進(jìn)行分頻倍頻,以得到比輸入
2020-02-20 14:32:13

【夢(mèng)翼師兄今日分享】 任意時(shí)鐘分頻程序設(shè)計(jì)講解

FPGA的設(shè)計(jì)中一直都擔(dān)任著很重要的角色,而說到分頻,我相信很多人都已經(jīng)想到了利用計(jì)數(shù)器計(jì)數(shù)來得到想要的時(shí)鐘頻率,但問題是僅僅利用計(jì)數(shù)器來分頻,只可以實(shí)現(xiàn)偶數(shù)分頻,而如果需要三分頻、五分頻、七分頻
2019-12-11 10:15:33

你會(huì)配置stm32時(shí)鐘PLL各參數(shù)嗎?看完本文豁然開朗

有些朋友不理解為什么STM32時(shí)鐘要先倍頻N,再分頻?你會(huì)擔(dān)心這個(gè)值太大嗎?1寫在前面STM32時(shí)鐘的功能,可以說是越來越強(qiáng)大了。從各個(gè)系列的時(shí)鐘樹可以看得出來,最早F1系列的時(shí)鐘功能相對(duì)
2020-05-18 07:00:00

使用FPGA時(shí)鐘資源小技巧

把握DCM、PLL、PMCD和MMCM知識(shí)是穩(wěn)健可靠的時(shí)鐘設(shè)計(jì)策略的基礎(chǔ)。賽靈思在其FPGA中提供了豐富的時(shí)鐘資源,大多數(shù)設(shè)計(jì)人員在他們的FPGA設(shè)計(jì)中或多或少都會(huì)用到。不過對(duì)FPGA設(shè)計(jì)新手來說
2020-04-25 07:00:00

例說FPGA連載31:PLL例化配置與LED之PLL的IP核配置

PLL唄。當(dāng)然了,我們的FPGA里面定義的PLL,可不是僅僅只有一個(gè)反饋調(diào)整功能,它還有倍頻和分頻等功能集成其中。嚴(yán)格一點(diǎn)講,我覺得這個(gè)PLL實(shí)際上應(yīng)該算是一個(gè)FPGA內(nèi)部的時(shí)鐘管理模塊了。不多說,如圖
2016-09-12 17:31:43

具有集成VCO,時(shí)鐘分頻器和多達(dá)24個(gè)輸出的超低噪聲PLL時(shí)鐘合成器AD9522-4/PCBZ

AD9522-4 / PCBZ,用于AD9522-4的評(píng)估板是一款超低噪聲PLL時(shí)鐘合成器,具有集成VCO,時(shí)鐘分頻器和多達(dá)24個(gè)輸出。 AD9522具有自動(dòng)保持功能和靈活的參考輸入電路,可實(shí)現(xiàn)非常
2019-02-22 09:35:23

利用Verilog實(shí)現(xiàn)奇數(shù)倍分頻

較熟悉的分頻,通過計(jì)數(shù)器計(jì)數(shù)是完全可以實(shí)現(xiàn)的。如進(jìn)行N偶數(shù)分頻,那么可以通過由待分頻時(shí)鐘觸發(fā)計(jì)數(shù)器計(jì)數(shù),當(dāng)計(jì)數(shù)器從0計(jì)數(shù)到N/2-1時(shí),輸出時(shí)鐘進(jìn)行翻轉(zhuǎn),并給計(jì)數(shù)器一個(gè)復(fù)位信號(hào),使得下一個(gè)時(shí)鐘
2019-06-14 06:30:00

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載61:PLL概述

里面定義的PLL,可不是僅僅只有一個(gè)反饋調(diào)整功能,它還有倍頻和分頻等功能集成其中。嚴(yán)格一點(diǎn)講,我覺得這個(gè)PLL實(shí)際上應(yīng)該算是一個(gè)FPGA內(nèi)部的時(shí)鐘管理模塊了。不多說,如圖8.16所示,大家看看PLL內(nèi)部
2018-04-10 21:57:51

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載62:基于PLL分頻計(jì)數(shù)的LED閃爍實(shí)例

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載62:基于PLL分頻計(jì)數(shù)的LED閃爍實(shí)例特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 如圖
2018-04-19 19:00:56

基于FPGA的任意分頻器設(shè)計(jì)

Altera的PLL,Xilinx的DLL)來進(jìn)行時(shí)鐘分頻、倍頻以及相移設(shè)計(jì),但是,對(duì)于時(shí)鐘要求不太嚴(yán)格的設(shè)計(jì),通過自主設(shè)計(jì)進(jìn)行時(shí)鐘分頻實(shí)現(xiàn)方法仍然非常流行。首先這種方法可以節(jié)省鎖相環(huán)資源,再者
2014-06-19 16:15:28

基于FPGA的數(shù)字分頻器該怎么設(shè)計(jì)?

中從電子設(shè)計(jì)的外圍器件逐漸演變?yōu)閿?shù)字系統(tǒng)的核心。伴隨著半導(dǎo)體工藝技術(shù)的進(jìn)步,FPGA器件的設(shè)計(jì)技術(shù)取得了飛躍發(fā)展及突破。分頻器通常用來對(duì)某個(gè)給定的時(shí)鐘頻率進(jìn)行分頻,以得到所需的時(shí)鐘頻率。在設(shè)計(jì)數(shù)
2019-10-08 10:08:10

如何利用Verilog實(shí)現(xiàn)奇數(shù)倍分頻

較熟悉的分頻,通過計(jì)數(shù)器計(jì)數(shù)是完全可以實(shí)現(xiàn)的。如進(jìn)行N偶數(shù)分頻,那么可以通過由待分頻時(shí)鐘觸發(fā)計(jì)數(shù)器計(jì)數(shù),當(dāng)計(jì)數(shù)器從0計(jì)數(shù)到N/2-1時(shí),輸出時(shí)鐘進(jìn)行翻轉(zhuǎn),并給計(jì)數(shù)器一個(gè)復(fù)位信號(hào),使得下一個(gè)時(shí)鐘
2019-07-09 09:11:47

學(xué)習(xí)分享STM32時(shí)鐘系統(tǒng)小結(jié)

PLL輸出端獲取,可以選擇為1.5分頻或者1分頻,也就是,當(dāng)需要使用USB模塊時(shí),PLL必須使能,并且時(shí)鐘頻率配置為48MHz或72MHz。另外,STM32還可以選擇一個(gè)時(shí)鐘信號(hào)輸出到MCO腳
2017-04-15 11:56:58

學(xué)習(xí)分享STM32時(shí)鐘系統(tǒng)小結(jié)

PLL輸出端獲取,可以選擇為1.5分頻或者1分頻,也就是,當(dāng)需要使用USB模塊時(shí),PLL必須使能,并且時(shí)鐘頻率配置為48MHz或72MHz。另外,STM32還可以選擇一個(gè)時(shí)鐘信號(hào)輸出到MCO腳
2017-05-05 14:34:19

學(xué)習(xí)分享STM32時(shí)鐘系統(tǒng)小結(jié)

PLL輸出端獲取,可以選擇為1.5分頻或者1分頻,也就是,當(dāng)需要使用USB模塊時(shí),PLL必須使能,并且時(shí)鐘頻率配置為48MHz或72MHz。另外,STM32還可以選擇一個(gè)時(shí)鐘信號(hào)輸出到MCO腳
2018-09-25 11:38:18

學(xué)習(xí)分享STM32時(shí)鐘系統(tǒng)小結(jié)

PLL輸出端獲取,可以選擇為1.5分頻或者1分頻,也就是,當(dāng)需要使用USB模塊時(shí),PLL必須使能,并且時(shí)鐘頻率配置為48MHz或72MHz。另外,STM32還可以選擇一個(gè)時(shí)鐘信號(hào)輸出到MCO腳
2014-05-13 10:10:50

學(xué)習(xí)分享STM32時(shí)鐘系統(tǒng)小結(jié)

PLL輸出端獲取,可以選擇為1.5分頻或者1分頻,也就是,當(dāng)需要使用USB模塊時(shí),PLL必須使能,并且時(shí)鐘頻率配置為48MHz或72MHz。另外,STM32還可以選擇一個(gè)時(shí)鐘信號(hào)輸出到MCO腳
2016-05-23 10:27:23

學(xué)習(xí)分享STM32時(shí)鐘系統(tǒng)小結(jié)

PLL輸出端獲取,可以選擇為1.5分頻或者1分頻,也就是,當(dāng)需要使用USB模塊時(shí),PLL必須使能,并且時(shí)鐘頻率配置為48MHz或72MHz。另外,STM32還可以選擇一個(gè)時(shí)鐘信號(hào)輸出到MCO腳
2016-08-23 10:31:08

學(xué)習(xí)分享STM32時(shí)鐘系統(tǒng)小結(jié)

PLL輸出端獲取,可以選擇為1.5分頻或者1分頻,也就是,當(dāng)需要使用USB模塊時(shí),PLL必須使能,并且時(shí)鐘頻率配置為48MHz或72MHz。另外,STM32還可以選擇一個(gè)時(shí)鐘信號(hào)輸出到MCO腳
2016-08-25 09:40:03

將系統(tǒng)的時(shí)鐘分頻器系數(shù)以及PLL的倍頻系數(shù)配置好

基于特定的開發(fā)板上的時(shí)鐘策略:倍頻/分頻系數(shù)需要在使能 PLL 之前進(jìn)行配置,所以需要在 Open PLL 之前將所有系統(tǒng)的時(shí)鐘分頻器系數(shù)以及PLL的倍頻系數(shù)配置好。整個(gè)時(shí)鐘的配置流程如下所示:(1
2021-08-23 09:12:44

找不到documnet PLL如何在FPGA中工作?

10Gbps的serdes,它應(yīng)該使用那個(gè)速度的PLL。正常,PLL速度固定為P(預(yù)分頻器,主分頻器和S),我想掃描窄,所以我應(yīng)該使用小數(shù)N分頻PLL。無論如何,我找不到documnet PLL如何在FPGA中工作。有誰知道嗎?
2020-06-16 15:27:36

是否有評(píng)估板的外部時(shí)鐘分頻器而不是使用DCM或PLL?

是否有評(píng)估板的外部(FPGA外部)時(shí)鐘分頻器而不是使用DCM或PLL?問候,半
2020-03-16 09:11:44

請(qǐng)問FPGA PLL產(chǎn)生的時(shí)鐘信號(hào)和AD9779A的數(shù)據(jù)時(shí)鐘信號(hào)的相位關(guān)系?

你們好, 我們正在使用AD9779A進(jìn)行設(shè)計(jì),有如下疑問: (1) 使用AD9779A的數(shù)據(jù)時(shí)鐘信號(hào)(DATACLK)作為FPGA內(nèi)部PLL的參考時(shí)鐘,再用FPGA PLL產(chǎn)生的時(shí)鐘信號(hào)把數(shù)據(jù)
2023-12-20 07:12:27

請(qǐng)問FPGAPLL時(shí)鐘的問題

請(qǐng)問,想通過FPGAPLL倍頻產(chǎn)生個(gè)500MHz的時(shí)鐘來使用,以此時(shí)鐘來做定時(shí)精密延遲,不知道PLL倍頻倍數(shù)有什么要求,比如好像有的器件支持不到500MHz,有沒有可推薦的器件呢 補(bǔ)充內(nèi)容 (2017-1-4 09:26): 或者有大神用過類似能到500MHz的FPGA推薦么
2017-01-03 17:04:23

用Verilog實(shí)現(xiàn)基于FPGA的通用分頻

在復(fù)雜數(shù)字邏輯電路設(shè)計(jì)中,經(jīng)常會(huì)用到多個(gè)不同的時(shí)鐘信號(hào)。介紹一種通用的分頻器,可實(shí)現(xiàn)2~256 之間的任意奇數(shù)、偶數(shù)、半整數(shù)分頻。首先簡要介紹了FPGA 器件的特點(diǎn)和應(yīng)用范
2009-11-01 14:39:1978

DLL在FPGA時(shí)鐘設(shè)計(jì)中的應(yīng)用

DLL在FPGA時(shí)鐘設(shè)計(jì)中的應(yīng)用:在ISE集成開發(fā)環(huán)境中,用硬件描述語言對(duì)FPGA 的內(nèi)部資源DLL等直接例化,實(shí)現(xiàn)其消除時(shí)鐘的相位偏差、倍頻和分頻的功能。時(shí)鐘電路是FPGA開發(fā)板設(shè)計(jì)中的
2009-11-01 15:10:3033

基于FPGA的高頻時(shí)鐘分頻和分配設(shè)計(jì)

摘要:介紹了為PET(正電子發(fā)射斷層掃描儀)的前端電子學(xué)模塊提供時(shí)間基準(zhǔn)而設(shè)計(jì)的一種新型高頻時(shí)鐘扇出電路。該電路利用FPGA芯片來實(shí)現(xiàn)對(duì)高頻時(shí)鐘分頻
2009-06-20 12:41:041238

基于FPGA的多種形式分頻的設(shè)計(jì)與實(shí)現(xiàn)

摘 要: 本文通過在QuartursⅡ開發(fā)平臺(tái)下,一種能夠實(shí)現(xiàn)等占空比、非等占空比整數(shù)分頻及半整數(shù)分頻的通用分頻器的FPGA設(shè)計(jì)與實(shí)現(xiàn),介紹了利用VHDL硬件描
2009-06-20 12:43:07562

時(shí)鐘分頻及定時(shí)變換電路

時(shí)鐘分頻及定時(shí)變換電路
2009-10-11 10:35:512033

基于CPLD/FPGA的多功能分頻器的設(shè)計(jì)與實(shí)現(xiàn)

基于CPLD/FPGA的多功能分頻器的設(shè)計(jì)與實(shí)現(xiàn) 引言   分頻器在CPLD/FPGA設(shè)計(jì)中使用頻率比較高,盡管目前大部分設(shè)計(jì)中采用芯片廠家集成的鎖相環(huán)資源 ,但是對(duì)于要求
2009-11-23 10:39:481139

利用FPGA延時(shí)鏈實(shí)現(xiàn)鑒相器時(shí)鐘數(shù)據(jù)恢復(fù)

為利用簡單的線纜收發(fā)器,實(shí)現(xiàn)中等數(shù)據(jù)率的串行數(shù)據(jù)傳輸,提出了一種基于電荷泵式PLL時(shí)鐘數(shù)據(jù)恢復(fù)的方法。鑒相器由FPGA實(shí)現(xiàn),用固定延時(shí)單元構(gòu)成一條等間隔的延時(shí)鏈,將輸入信號(hào)經(jīng)過每級(jí)延時(shí)單元后的多個(gè)輸出用本地的VCO時(shí)鐘鎖存,輸入信號(hào)的沿變?cè)谘訒r(shí)鏈
2011-03-15 12:39:3490

低噪聲小數(shù)N分頻鎖相環(huán)實(shí)現(xiàn)方案

該電路是低噪聲微波小數(shù)N分頻PLL的完整實(shí)現(xiàn)方案,以 ADF4156 作為核心的小數(shù)N分頻PLL器件。使用 ADF5001 外部預(yù)分頻器將PLL頻率范圍擴(kuò)展至18 GHz。
2011-10-26 15:02:221333

基于FPGA的小數(shù)分頻實(shí)現(xiàn)方法

提出了一種基于FPGA的小數(shù)分頻實(shí)現(xiàn)方法,介紹了現(xiàn)有分頻方法的局限性,提出一種新的基于兩級(jí)計(jì)數(shù)器的分頻實(shí)現(xiàn)方法,給出了該設(shè)計(jì)方法的設(shè)計(jì)原理以及實(shí)現(xiàn)框圖
2011-11-09 09:36:22121

基于Verilog的FPGA分頻設(shè)計(jì)

給出了一種基于FPGA分頻電路的設(shè)計(jì)方法.根據(jù)FPGA器件的特點(diǎn)和應(yīng)用范圍,提出了基于Verilog的分頻方法.該方法時(shí)于在FPGA硬件平臺(tái)上設(shè)計(jì)常用的任意偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻
2011-11-09 09:49:33355

FPGA實(shí)現(xiàn)小數(shù)分頻

介紹了一種基于FPGA的雙模前置小數(shù)分頻器的分頻原理及電路設(shè)計(jì),并用VHDL編程實(shí)現(xiàn)分頻器的仿真.
2011-11-29 16:43:0648

FPGA實(shí)現(xiàn)數(shù)字時(shí)鐘

在Quartus Ⅱ開發(fā)環(huán)境下,用Verilog HDL硬件描述語言設(shè)計(jì)了一個(gè)可以在FPGA芯片上實(shí)現(xiàn)的數(shù)字時(shí)鐘. 通過將設(shè)計(jì)代碼下載到FPGA的開發(fā)平臺(tái)Altera DE2開發(fā)板上進(jìn)行了功能驗(yàn)證. 由于數(shù)字時(shí)鐘的通用
2011-11-29 16:51:43178

用Verilog實(shí)現(xiàn)基于FPGA的通用分頻器的設(shè)計(jì)

用 Verilog實(shí)現(xiàn)基于FPGA 的通用分頻器的設(shè)計(jì)時(shí)鐘分頻包括奇數(shù)和偶數(shù)分頻
2016-07-14 11:32:4745

Xilinx FPGA普通IO作PLL時(shí)鐘輸入

普通IO可以通過BUFG再連到PLL時(shí)鐘輸入上,但要修改PLL的設(shè)置 input clk的選項(xiàng)中要選擇"No Buffer";
2017-02-09 12:54:116825

用Verilog語言實(shí)現(xiàn)奇數(shù)倍分頻電路3分頻、5分頻、7分頻 9

分頻器是FPGA設(shè)計(jì)中使用頻率非常高的基本設(shè)計(jì)之一,盡管在目前大部分設(shè)計(jì)中,廣泛使用芯片廠家集成的鎖相環(huán)資源,如賽靈思(Xilinx)的DLL.來進(jìn)行時(shí)鐘分頻,倍頻以及相移。
2017-02-11 12:33:4010916

用Verilog語言實(shí)現(xiàn)奇數(shù)倍分頻電路3分頻、5分頻、7分頻

分頻器是FPGA設(shè)計(jì)中使用頻率非常高的基本設(shè)計(jì)之一,盡管在目前大部分設(shè)計(jì)中,廣泛使用芯片廠家集成的鎖相環(huán)資源,如賽靈思(Xilinx)的DLL.來進(jìn)行時(shí)鐘分頻,倍頻以及相移。
2017-02-11 13:36:3612409

如何理解STM32系統(tǒng)時(shí)鐘分頻

STM32中有一個(gè)全速功能的USB模塊,其串行接口引擎需要一個(gè)頻率為48MHz的時(shí)鐘源。該時(shí)鐘源只能從PLL輸出端獲取,可以選擇為1.5分頻或者1分頻,也就是,當(dāng)需要使用USB模塊時(shí),PLL必須使能,并且時(shí)鐘頻率配置為48MHz或72MHz。
2017-11-30 09:14:186833

FPGA學(xué)習(xí):PLL分頻計(jì)數(shù)的LED閃爍實(shí)例

輸入FPGA引腳上的25MHz時(shí)鐘,配置PLL使其輸出4路分別為12.5MHz、25MHz、50MHz和100MHz的時(shí)鐘信號(hào),這4路時(shí)鐘信號(hào)又分別驅(qū)動(dòng)4個(gè)不同位寬的計(jì)數(shù)器不停的計(jì)數(shù)工作,這些計(jì)數(shù)器
2018-04-24 11:20:014012

關(guān)于MAX 10 FPGA PLL時(shí)鐘特性選項(xiàng)的培訓(xùn)

MAX 10 FPGA PLL時(shí)鐘培訓(xùn),此次培訓(xùn)涉及到器件系列的時(shí)鐘特性和選項(xiàng)。有20個(gè)全局時(shí)鐘網(wǎng)絡(luò),全局CLK輸入引腳數(shù)量也可以加倍,用作通用IO引腳。并且采用動(dòng)態(tài)用戶控制進(jìn)行各種選擇和電源控制,構(gòu)建魯棒的時(shí)鐘網(wǎng)絡(luò)源。它所有4個(gè)PLL都是全功能的。
2018-06-20 08:00:002325

利用FPGA技術(shù)實(shí)現(xiàn)各類分頻器的設(shè)計(jì)

分頻器是FPGA設(shè)計(jì)中使用頻率非常高的基本單元之一。盡管目前在大部分設(shè)計(jì)中還廣泛使用集成鎖相環(huán)(如altera的PLL,Xilinx的DLL)來進(jìn)行時(shí)鐘分頻、倍頻以及相移設(shè)計(jì),但是,對(duì)于時(shí)鐘要求
2019-08-07 08:00:009033

FPGA設(shè)計(jì):PLL 配置后的復(fù)位設(shè)計(jì)

先用FPGA的外部輸入時(shí)鐘clk將FPGA的輸入復(fù)位信號(hào)rst_n做異步復(fù)位、同步釋放處理,然后這個(gè)復(fù)位信號(hào)輸入PLL,同時(shí)將clk也輸入PLL。設(shè)計(jì)的初衷是在PLL輸出有效時(shí)鐘之前,系統(tǒng)的其他部分都保持復(fù)位狀態(tài)。
2020-03-29 17:19:002456

淺談FPGA設(shè)計(jì)中分頻電路設(shè)計(jì)

通常情況下,時(shí)鐘分頻FPGA設(shè)計(jì)中占有重要的地位,在此就簡單列出分頻電路設(shè)計(jì)的思考思路。
2020-07-10 17:18:032192

理解FPGA的基礎(chǔ)知識(shí)FPGA專業(yè)術(shù)語

PLL 是一種用來同步輸入信號(hào)和輸出信號(hào)頻率和相位的相位同步電路,也可用來實(shí)現(xiàn)時(shí)鐘信號(hào)的倍頻(產(chǎn)生輸入時(shí)鐘整數(shù)倍頻率的時(shí)鐘)。在 FPGA 芯片上,PLL 用來實(shí)現(xiàn)對(duì)主時(shí)鐘的倍頻和分頻,并且 PLL
2020-11-16 17:04:443292

如何使用Cyclone器件中的PLL

Cyclone FPGA 具有鎖相環(huán)(PLL)和全局時(shí)鐘網(wǎng)絡(luò),提供完整的時(shí)鐘管理方案。Cyclone PLL 具有時(shí)鐘倍頻和分頻、相位偏移、可編程 占空比和外部時(shí)鐘輸出,進(jìn)行系統(tǒng)級(jí)的時(shí)鐘管理和偏移
2021-01-15 14:38:0024

Vivado下PLL實(shí)驗(yàn) ALINX

很多初學(xué)者看到板上只有一個(gè)25Mhz時(shí)鐘輸入的時(shí)候都產(chǎn)生疑惑,時(shí)鐘怎么是25Mhz?如果要工作在100Mhz、150Mhz怎么辦?其實(shí)在很多FPGA芯片內(nèi)部都集成了PLL,其他廠商可能不叫PLL
2022-02-08 15:13:173306

【ZYNQ Ultrascale+ MPSOC FPGA教程】第五章 Vivado下PLL實(shí)驗(yàn) ALINX

很多初學(xué)者看到板上只有一個(gè)25Mhz時(shí)鐘輸入的時(shí)候都產(chǎn)生疑惑,時(shí)鐘怎么是25Mhz?如果要工作在100Mhz、150Mhz怎么辦?其實(shí)在很多FPGA芯片內(nèi)部都集成了PLL,其他廠商可能不叫PLL
2021-01-29 09:30:527

程序實(shí)現(xiàn)對(duì)輸入時(shí)鐘信號(hào)的7分頻

程序實(shí)現(xiàn)對(duì)輸入時(shí)鐘信號(hào)的7分頻介紹。
2021-03-17 14:59:2311

AD9510:1.2 GHz時(shí)鐘分配IC,PLL內(nèi)核,分頻器,延遲調(diào)整,8路輸出

AD9510:1.2 GHz時(shí)鐘分配IC,PLL內(nèi)核,分頻器,延遲調(diào)整,8路輸出
2021-03-21 15:32:5811

AD9573:PCI-Express時(shí)鐘發(fā)生器IC,PLL內(nèi)核,分頻器,雙輸出數(shù)據(jù)表

AD9573:PCI-Express時(shí)鐘發(fā)生器IC,PLL內(nèi)核,分頻器,雙輸出數(shù)據(jù)表
2021-05-08 20:05:055

一種基于FPGA分頻器的實(shí)現(xiàn)

一種基于FPGA分頻器的實(shí)現(xiàn)說明。
2021-05-25 16:57:0816

探討時(shí)鐘切換電路的實(shí)現(xiàn)

外部晶振+內(nèi)部時(shí)鐘震蕩器+內(nèi)部PLL +內(nèi)部分頻器產(chǎn)生時(shí)鐘,性能高一點(diǎn)的MCU基本都采用這種方案。
2022-08-31 18:04:08864

verilog的時(shí)鐘分頻時(shí)鐘使能

,但 FPGA 由于器件本身和工具的限制,分頻時(shí)鐘和源時(shí)鐘的Skew不容易控制(使用鎖相環(huán)分頻是個(gè)例外),難以保證分頻時(shí)鐘和源時(shí)鐘同相,因此推薦的方法是使用時(shí)鐘使能,通過使用時(shí)鐘使能可以避免時(shí)鐘“滿天飛”的情況,進(jìn)而避免了不必要的亞穩(wěn)態(tài)發(fā)
2023-01-05 14:00:07949

基于FPGA分頻器設(shè)計(jì)

板載晶振提供的時(shí)鐘信號(hào)頻率是固定的,不一定滿足需求,因此需要對(duì)基準(zhǔn)時(shí)鐘進(jìn)行分頻。要得到更慢的時(shí)鐘頻率可以 分頻 ,要得到更快的時(shí)鐘頻率可以 倍頻 。我們有兩種方式可以改變頻率,一種是 鎖相環(huán) (PLL,后面章節(jié)會(huì)講解),另一種是用 Verilog代碼描述。
2023-06-23 16:52:001149

利用FPGA的高頻時(shí)鐘扇出電路的分頻和分配設(shè)計(jì)

基于FPGA的高頻時(shí)鐘分頻分頻設(shè)計(jì)
2023-08-16 11:42:470

FPGA的鎖相環(huán)PLL給外圍芯片提供時(shí)鐘

FPGA的鎖相環(huán)PLL給外圍芯片提供時(shí)鐘 FPGA鎖相環(huán)PLL(Phase-Locked Loop)是一種廣泛使用的時(shí)鐘管理電路,可以對(duì)輸入時(shí)鐘信號(hào)進(jìn)行精確控制和提高穩(wěn)定性,以滿足各種應(yīng)用場(chǎng)
2023-09-02 15:12:341319

為什么單片機(jī)內(nèi)置時(shí)鐘源不經(jīng)過pll也可以分頻?

為什么單片機(jī)內(nèi)置時(shí)鐘源不經(jīng)過pll也可以分頻?? 單片機(jī)內(nèi)置時(shí)鐘源不經(jīng)過PLL也可以實(shí)現(xiàn)分頻,原因在于單片機(jī)內(nèi)置時(shí)鐘源自帶分頻器,可以通過軟件設(shè)置分頻系數(shù)來控制內(nèi)部時(shí)鐘頻率。 在單片機(jī)內(nèi)部,通常會(huì)
2023-09-02 15:12:45597

FPGA中只有從專用時(shí)鐘管腳進(jìn)去的信號(hào)才能接片內(nèi)鎖相環(huán)嗎?

是接受外部時(shí)鐘信號(hào)。這些時(shí)鐘信號(hào)是非常重要的,因?yàn)樗鼈兛梢詭椭?b class="flag-6" style="color: red">FPGA的內(nèi)部邏輯和時(shí)序同步,并保證系統(tǒng)的穩(wěn)定性和正確性。 對(duì)于這些專用管腳進(jìn)入的時(shí)鐘信號(hào),Altera的FPGA提供了一種特殊的電路,即鎖相環(huán)(PLL)。PLL是一種電路,它可以將輸入的時(shí)鐘信號(hào)倍頻、分頻或者頻率變化。 要接入固定的
2023-10-13 17:40:00297

FPGA學(xué)習(xí)-分頻器設(shè)計(jì)

分頻器設(shè)計(jì) 一:分頻器概念 板載時(shí)鐘往往 是 有限個(gè)( 50MHZ/100MHZ/24MHZ/60MHZ… ),如果在設(shè)計(jì)中需要其他時(shí)鐘時(shí),板載時(shí)鐘不滿足時(shí),需要對(duì)板載時(shí)鐘進(jìn)行分頻 / 倍頻,目的
2023-11-03 15:55:02471

如何實(shí)現(xiàn)分頻時(shí)鐘的切換

其實(shí)這個(gè)分頻時(shí)鐘切換很簡單,根本不需要額外的切換電路。一個(gè)共用的計(jì)數(shù)器,加一點(diǎn)控制邏輯,就可以了,而且可以實(shí)現(xiàn)2到16任意整數(shù)分頻率之間的無縫切換。
2023-12-14 15:28:56257

鎖相環(huán)整數(shù)分頻和小數(shù)分頻的區(qū)別是什么?

鎖相環(huán)整數(shù)分頻和小數(shù)分頻的區(qū)別是什么? 鎖相環(huán)(PLL)是一種常用的電子電路,用于將輸入的時(shí)鐘信號(hào)與參考信號(hào)進(jìn)行同步,并生成輸出信號(hào)的一種技術(shù)。在PLL中,分頻器模塊起到關(guān)鍵作用,可以實(shí)現(xiàn)整數(shù)分頻
2024-01-31 15:24:48312

已全部加載完成