0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > ModelSim

ModelSim

+關(guān)注 0人關(guān)注

  Mentor公司的ModelSim是業(yè)界最優(yōu)秀的HDL語(yǔ)言仿真軟件,它能提供友好的仿真環(huán)境,是業(yè)界唯一的單內(nèi)核支持VHDL和Verilog混合仿真的仿真器。它采用直接優(yōu)化的編譯技術(shù)、Tcl/Tk技術(shù)、和單一內(nèi)核仿真技術(shù),編譯仿真速度快,編譯的代碼與平臺(tái)無(wú)關(guān),便于保護(hù)IP核,個(gè)性化的圖形界面和用戶(hù)接口,為用戶(hù)加快調(diào)錯(cuò)提供強(qiáng)有力的手段,是FPGA/ASIC設(shè)計(jì)的首選仿真軟件。

文章: 85 個(gè)
視頻: 56 個(gè)
瀏覽: 47065
帖子: 174 個(gè)

ModelSim簡(jiǎn)介

  Mentor公司的ModelSim是業(yè)界最優(yōu)秀的HDL語(yǔ)言仿真軟件,它能提供友好的仿真環(huán)境,是業(yè)界唯一的單內(nèi)核支持VHDL和Verilog混合仿真的仿真器。它采用直接優(yōu)化的編譯技術(shù)、Tcl/Tk技術(shù)、和單一內(nèi)核仿真技術(shù),編譯仿真速度快,編譯的代碼與平臺(tái)無(wú)關(guān),便于保護(hù)IP核,個(gè)性化的圖形界面和用戶(hù)接口,為用戶(hù)加快調(diào)錯(cuò)提供強(qiáng)有力的手段,是FPGA/ASIC設(shè)計(jì)的首選仿真軟件。

ModelSim百科

  Mentor公司的ModelSim是業(yè)界最優(yōu)秀的HDL語(yǔ)言仿真軟件,它能提供友好的仿真環(huán)境,是業(yè)界唯一的單內(nèi)核支持VHDL和Verilog混合仿真的仿真器。它采用直接優(yōu)化的編譯技術(shù)、Tcl/Tk技術(shù)、和單一內(nèi)核仿真技術(shù),編譯仿真速度快,編譯的代碼與平臺(tái)無(wú)關(guān),便于保護(hù)IP核,個(gè)性化的圖形界面和用戶(hù)接口,為用戶(hù)加快調(diào)錯(cuò)提供強(qiáng)有力的手段,是FPGA/ASIC設(shè)計(jì)的首選仿真軟件。

  主要特點(diǎn)

  · RTL和門(mén)級(jí)優(yōu)化,本地編譯結(jié)構(gòu),編譯仿真速度快,跨平臺(tái)跨版本仿真;

  · 單內(nèi)核VHDL和Verilog混合仿真;

  · 源代碼模版和助手,項(xiàng)目管理;

  · 集成了性能分析、波形比較、代碼覆蓋、數(shù)據(jù)流ChaseX、Signal Spy、虛擬對(duì)象Virtual Object、Memory窗口、Assertion窗口、源碼窗口顯示信號(hào)值、信號(hào)條件斷點(diǎn)等眾多調(diào)試功能;

  · C和Tcl/Tk接口,C調(diào)試;

  · 對(duì)SystemC的直接支持,和HDL任意混合;

  · 支持SystemVerilog的設(shè)計(jì)功能;

  · 對(duì)系統(tǒng)級(jí)描述語(yǔ)言的最全面支持,SystemVerilog,SystemC,PSL;

  · ASIC Sign off。

  · 可以單獨(dú)或同時(shí)進(jìn)行行為(behavioral)、RTL級(jí)、和門(mén)級(jí)(gate-level)的代碼。

查看詳情

modelsim知識(shí)

展開(kāi)查看更多

modelsim技術(shù)

modelsim仿真詳細(xì)過(guò)程(功能仿真與時(shí)序仿真)

modelsim仿真詳細(xì)過(guò)程(功能仿真與時(shí)序仿真)

modelsim仿真詳細(xì)過(guò)程(功能仿真與時(shí)序仿真).ModelSim不僅可以用于數(shù)字電路系統(tǒng)設(shè)計(jì)的功能仿真,還可以應(yīng)用于數(shù)字電路系統(tǒng)設(shè)計(jì)的時(shí)序仿真。 M...

2017-12-19 標(biāo)簽:仿真modelsim 6.7萬(wàn) 1

關(guān)于quartus如何調(diào)用modelsim詳細(xì)解說(shuō)

關(guān)于quartus如何調(diào)用modelsim詳細(xì)解說(shuō)

本文主要詳細(xì)介紹了在Quartus II 11.0中調(diào)用ModelSim-Altera 6.5e,另外還介紹了Quartus II調(diào)用modelsim無(wú)...

2018-05-18 標(biāo)簽:modelsimquartus 3.6萬(wàn) 0

ISE和Modelsim安裝破解教程

ISE和Modelsim安裝破解教程

工欲善其事必先利其器,本期我們講解工具軟件的安裝和破解(提示:本教程方法僅限于學(xué)習(xí)交流,請(qǐng)勿他用)

2023-07-27 標(biāo)簽:fpgaXilinxModelSim 2.5萬(wàn) 0

使用Vivado 2017調(diào)用Modelsim的詳細(xì)步驟

使用Vivado 2017調(diào)用Modelsim的詳細(xì)步驟

本次使用的Vivado版本為Vivado_2017.3版本,從Xilinx官方文檔可以了解到,該版本的Vivado只支持Modelsim10.6或者更高...

2019-03-30 標(biāo)簽:modelsimvivado 1.7萬(wàn) 1

使用ModelSim自動(dòng)生成狀態(tài)機(jī)FSM的狀態(tài)轉(zhuǎn)換圖

使用ModelSim自動(dòng)生成狀態(tài)機(jī)FSM的狀態(tài)轉(zhuǎn)換圖

HDL代碼設(shè)計(jì)中重要的內(nèi)容之一就是設(shè)計(jì)程序的狀態(tài)機(jī)FSM,狀態(tài)轉(zhuǎn)換控制著整個(gè)程序的流程,為了理解程序,我們經(jīng)常需要把狀態(tài)機(jī)的狀態(tài)轉(zhuǎn)換圖畫(huà)出來(lái),這樣看起來(lái)...

2017-02-10 標(biāo)簽:HDLModelSim狀態(tài)機(jī) 1.5萬(wàn) 0

FPGA核心知識(shí)詳解(3):那些讓FPGA初學(xué)者糾結(jié)的仿真

電子發(fā)燒友網(wǎng)核心提示:對(duì)于FPGA初學(xué)者而言,如何正確了解并理解FPGA的仿真是關(guān)鍵。應(yīng)廣大FPGA初學(xué)者和愛(ài)好者要求,電子發(fā)燒友網(wǎng)編輯根據(jù)多名在FPG...

2012-12-28 標(biāo)簽:FPGA仿真Nios II 1.2萬(wàn) 5

圖文解析使用Modelsim進(jìn)行仿真工作

圖文解析使用Modelsim進(jìn)行仿真工作

怎么樣,通過(guò)這個(gè)簡(jiǎn)單的工程,大家是不是掌握了使用Quartus II進(jìn)行工程創(chuàng)建、設(shè)計(jì)文本創(chuàng)建和編輯、測(cè)試腳本創(chuàng)建和編輯、使用Modelsim進(jìn)...

2018-02-07 標(biāo)簽:仿真modelsim 1.1萬(wàn) 0

Xilinx ISE是如何調(diào)用ModelSim進(jìn)行仿真的

Xilinx ISE是如何調(diào)用ModelSim進(jìn)行仿真的

在我們用ModelSim仿真的時(shí)候經(jīng)常是修改一點(diǎn)一點(diǎn)修改代碼,這樣會(huì)造成一個(gè)無(wú)奈的操作循環(huán):修改代碼--->編譯代碼--->仿真設(shè)置--->進(jìn)入仿真頁(yè)面...

2017-02-11 標(biāo)簽:XilinxModelSim 1.1萬(wàn) 0

FPGA開(kāi)發(fā):modelsim仿真流程及波形

FPGA開(kāi)發(fā):modelsim仿真流程及波形

對(duì)于FPGA開(kāi)發(fā)而言,仿真是開(kāi)發(fā)流程中必不可少的一步,也是非常重要的一步,仿真是將RTL代碼模擬運(yùn)行,得到module中信號(hào)波形,再進(jìn)行功能分析的過(guò)程。...

2020-09-30 標(biāo)簽:fpga仿真波形 9924 0

在ISE啟動(dòng)modelsim時(shí)遇到問(wèn)題與解決

在ISE啟動(dòng)modelsim時(shí)遇到問(wèn)題與解決

1。我在ISE中啟動(dòng)modelsim時(shí)出現(xiàn)了下面的錯(cuò)誤 Loading work.tb_ic1_func # ** Error: (vsim-19) F...

2017-02-11 標(biāo)簽:modelsimISE 9857 0

查看更多>>

modelsim資訊

modelsim仿真沒(méi)有波形或看不到波形的原因及解決方法

modelsim仿真沒(méi)有波形或看不到波形的原因及解決方法

 關(guān)于modelsim仿真時(shí)出現(xiàn) No objects found matching ‘/*’ 問(wèn)題在Modelsim6.2系列版本中仿真時(shí),點(diǎn)擊sta...

2017-11-24 標(biāo)簽:仿真modelsim 16.5萬(wàn) 0

modelsim顯示模擬波形詳解

雖然Modelsim的功能非常強(qiáng)大,仿真的波形可以以多種形式進(jìn)行顯示,但是當(dāng)涉及到數(shù)字信號(hào)處理的算法的仿真驗(yàn)證的時(shí)候,則顯得有點(diǎn)不足。而進(jìn)行數(shù)字信號(hào)處理...

2017-11-24 標(biāo)簽:modelsim 4.5萬(wàn) 0

Modelsim軟件如何仿真,怎么能添加輸入信號(hào)?

Modelsim軟件如何仿真,怎么能添加輸入信號(hào)?

 Modelsim是Mentor公司開(kāi)發(fā)的專(zhuān)業(yè)仿真軟件,支持VHDL、VerilogHDL和混合仿真的全系列流程。作為目前最流行的仿真工具之一,Mode...

2017-11-24 標(biāo)簽:仿真信號(hào)Modelsim 2.7萬(wàn) 1

ModelSim和QuestaSim功能簡(jiǎn)介及應(yīng)用

ModelSim和QuestaSim功能簡(jiǎn)介及應(yīng)用

ModelSim和QuestaSim功能簡(jiǎn)介及應(yīng)用 ModelSim是工業(yè)界最優(yōu)秀的語(yǔ)言仿真器,它提供最友好的調(diào)試環(huán)境

2010-04-29 標(biāo)簽:ModelSim 2.0萬(wàn) 0

modelsim保存波形操作方法解析

在數(shù)字電路設(shè)計(jì)中(Verilog or VHDL),ModelSim是常用的仿真工具。當(dāng)我們仿真大型的工程時(shí),往往需要保留仿真波形,以便分析和以后查看。...

2017-11-24 標(biāo)簽:ModelSim 1.7萬(wàn) 0

如何加速M(fèi)odelsim仿真時(shí)間

最近在Modelsim仿真過(guò)程中,遇到一個(gè)大問(wèn)題,對(duì)于分辨率2048*500的圖像數(shù)據(jù),在進(jìn)行時(shí)序約束中,發(fā)現(xiàn)算法模塊最高只能跑到60Mhz多,而要求必...

2022-08-08 標(biāo)簽:帶寬圖像數(shù)據(jù)ModelSim 1.3萬(wàn) 0

Modelsim的功能仿真和時(shí)序仿真

用ModelSim 進(jìn)行功能仿真,進(jìn)行功能仿真首先要檢查設(shè)計(jì)的語(yǔ)法是否正確;其次檢查代碼是否達(dá)到設(shè)計(jì)的功能要求。下文主要介紹仿真步驟和測(cè)試激勵(lì)的加載。

2012-11-13 標(biāo)簽:Modelsim功能仿真時(shí)序仿真 9092 0

Vivado與ModelSim的聯(lián)合仿真操作

Vivado自帶的仿真,個(gè)人覺(jué)得跑一些小模塊的仿真還是可以的,不過(guò)跑大的仿真系統(tǒng),容易無(wú)體驗(yàn)感,建議用第三方工具,這邊就直接對(duì)ModelSim下手了,接...

2022-03-11 標(biāo)簽:仿真ModelSimVivado 7552 0

Windows下Modelsim安裝步驟

Windows下Modelsim安裝步驟

1. 軟件基本介紹 軟件基本介紹 : Modelsim 是 Model Technology(Mentor Graphics 的子公司)的 HDL 硬件...

2021-11-09 標(biāo)簽:WINDOWS仿真器HDL 5674 0

如何加速M(fèi)odelsim仿真時(shí)間?

Modelsim加速仿真技巧 《前言》 最近在Modelsim仿真過(guò)程中,遇到一個(gè)大問(wèn)題,對(duì)于分辨率2048*500的圖像數(shù)據(jù),在進(jìn)行時(shí)序約束中,發(fā)現(xiàn)算...

2021-04-02 標(biāo)簽:仿真ModelSim 5336 0

查看更多>>

modelsim數(shù)據(jù)手冊(cè)

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國(guó)Lab Center Electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對(duì)生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門(mén)陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會(huì)使編程的可視化和交互性加強(qiáng),編程門(mén)檻降低,即使沒(méi)有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫(xiě)程序。
  • AD10
    AD10
    +關(guān)注
  • 識(shí)別
    識(shí)別
    +關(guān)注
  • FPGA開(kāi)發(fā)板
    FPGA開(kāi)發(fā)板
    +關(guān)注
    FPGA開(kāi)發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來(lái)實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車(chē)工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過(guò)去汽車(chē)電子產(chǎn)品的開(kāi)發(fā)周期是漫長(zhǎng)的,而許多汽車(chē)制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車(chē)。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長(zhǎng)寬,直插,貼片,焊盤(pán)的大小,管腳的長(zhǎng)寬,管腳的間距等)用圖形方式表現(xiàn)出來(lái),以便可以在畫(huà)pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開(kāi)發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫(kù)
    PCB封裝庫(kù)
    +關(guān)注
  • 語(yǔ)音交互
    語(yǔ)音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對(duì)于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿(mǎn)足低成本大批量市場(chǎng)的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對(duì)的市場(chǎng)領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專(zhuān)為電子電路的無(wú)焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱(chēng)特征阻抗,它不是直流電阻,屬于長(zhǎng)線傳輸中的概念。特性阻抗是射頻傳輸線影響無(wú)線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會(huì)阻礙交變電流的流動(dòng),合稱(chēng)阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對(duì)齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫(xiě)數(shù)據(jù)通道、并支持Outstanding傳輸訪問(wèn)和亂序訪問(wèn),并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個(gè)新的高性能協(xié)議。
  • 驅(qū)動(dòng)電流
    驅(qū)動(dòng)電流
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來(lái)為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號(hào)。它通常由石英 晶體振蕩器和與非門(mén)組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性?xún)r(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號(hào),也可以是壓力、溫度、濕度、位移、聲音等非電信號(hào);而AD分辨率指數(shù)字量變化一個(gè)最小量時(shí)模擬信號(hào)的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(hù)(5人)

jf_72770048 jf_67841551 路&人 f00357136 一熙綠

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語(yǔ)言教程專(zhuān)題