電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>制造/封裝>制造新聞>TSMC將為蘋果提供AP/GPU集成的解決方案,并采用20nm SoC工藝

TSMC將為蘋果提供AP/GPU集成的解決方案,并采用20nm SoC工藝

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關推薦

關于賽靈思(Xilinx) 20nm公告最新常見問題解答

電子發(fā)燒友網(wǎng)訊【編譯/Triquinne】 :賽靈思公司(Xilinx)今天發(fā)布公告,宣布其20nm產(chǎn)品系列發(fā)展戰(zhàn)略,包括下一代8系列All Programmable FPGA以及第二代3D IC和SoC20nm產(chǎn)品系列建立在業(yè)經(jīng)驗證
2012-11-14 15:32:291076

唱響2013,20nm FPGA背后蘊藏的巨大能量

20nm能讓我們超越什么?對于像賽靈思(Xilinx)這樣剛剛在28nm上花了巨資量產(chǎn)的公司,為什么又要去追20nm呢?20nm FPGA會帶給我們什么樣的科技進步?20nm FPGA背后到底蘊藏了哪些巨大能量?
2013-01-22 08:36:341317

蘋果將于2014年采用臺積電20nm工藝芯片?

 臺積電(TSMC)的高管對即將來臨的20nm芯片生產(chǎn)與銷售信心滿滿,臺積電CEO張忠謀上周就曾做過一個預測,他說最新的20nm工藝芯片2014年的成績會比先前28nm芯片頭兩年賣得還要好。
2013-01-23 08:57:45699

賽靈思推出多項20nm第一 繼續(xù)保持領先一代優(yōu)勢

賽靈思公司今天宣布下一代20nm All Programmable器件推出的三大里程碑事件。賽靈思20nm產(chǎn)品系列建立在其業(yè)經(jīng)驗證的28nm突破性技術基礎之上,在系統(tǒng)性能、低功耗和可編程系統(tǒng)集成方面擁有著領先一代的優(yōu)勢。
2013-01-31 15:52:16893

臺積電本月將安裝20nm制造設備,2014年量產(chǎn)

臺積電的20nm芯片生產(chǎn)設施或?qū)⑴c本月20日開始安裝,有可能在今年第2季度末期拿出20nm SoC產(chǎn)品樣品,正常情況下將在2014年進入量產(chǎn)。
2013-04-07 09:41:26910

20nm器件的32Gbps收發(fā)器到位 Altera FPGA再立里程碑

Altera公司今天宣布,公司展出了業(yè)界首款具有32-Gbps收發(fā)器功能的可編程器件,在收發(fā)器技術上樹立了另一關鍵里程碑。此次展示使用了基于TSMC 20SoC工藝技術的20 nm器件,該成果證實了20nm硅片的性能。
2013-04-09 10:38:431249

明導電子CEO:20nm工藝后 摩爾定律或失效

Mentor CEO認為:進入20nm、14/16nm及10nm工藝時代后,摩爾定律可能會失效,每個晶體管成本每年的下降速度不到30%,這導致企業(yè)面臨的成本挑戰(zhàn)會更加嚴峻。
2013-09-20 10:06:001635

Xilinx 推出擁有ASIC級架構和ASIC增強型設計方案20nm All Programmable UltraScale產(chǎn)品系列

All Programmable FPGA、SoC和3D IC的全球領先企業(yè)賽靈思公司 (Xilinx, Inc. (NASDAQ:XLNX) )今天宣布推出其20nm All Programmable UltraScale?產(chǎn)品系列,并提供相關產(chǎn)品技術文檔和Vivado?設計套件支持。
2013-12-10 22:50:33935

蘋果A8處理器最新消息:采用TSMC 20nm制程工藝

有消息稱,這款蘋果A8芯片將會采用臺積電的20nm制程工藝。出于貨源穩(wěn)定性的考慮,不會采用年底更為超前的16nm。盡管16nm的芯片會在明年正式量產(chǎn),但是產(chǎn)能和技術上仍不慎穩(wěn)定。
2013-12-16 08:56:431870

高通驍龍810搶先看:64位八核,20nm工藝

 蘋果A7處理器推出后,高通也迅速推出了64位移動處理器驍龍410,由于該處理器定位中低端,因此,它的風頭反被NVIDIA推出的Tegra K1所搶去。對此,外媒傳來消息稱,高通將在2014年下半年推出高端產(chǎn)品驍龍810,其將采用20nm工藝制造,GPU也升級為Adreno 430。
2014-01-23 09:35:182462

蘋果A8處理器,可能是個五核CPU?

,臺積電方面最新消息也表示,20nm制程技術準備進度比市場預期還要快,已經(jīng)順利達成蘋果要求,為下一代iPhone和iPad制作A系列處理器。除采用20納米技術外,有消息稱,蘋果A8處理器還將采用封裝體疊層技術(PoP)SoC解決方案,既將處理器和移動DRAM集成在一個封裝中。
2014-04-11 07:44:312584

后摩爾定律時代,SoC設計者應關注的新方向

隨著摩爾定律的失效以及20nm、16nm和14nm工藝變得越來越昂貴,系統(tǒng)級芯片(SoC)的成本下降必須在更加成熟的工藝和既定的方法條件下進行設計創(chuàng)新才能實現(xiàn)。
2014-09-23 09:21:05936

AMD明年GPU越過20nm直奔14nm

據(jù)報道AMD明年代號“北極群島”的GPU家族將完全跳過有問題的20nm工藝節(jié)點,北極群島系列GPU將直接采用14nm FinFE工藝生產(chǎn),希望實現(xiàn)更高的效率。
2015-04-24 11:15:501150

16nm工藝的麒麟650也不是吃干飯的料!

在目前市面上常見的SoC中,主要以28nm、20nm、16nm和14nm這4種制程為主,每種制程根據(jù)生產(chǎn)工藝不同還衍生出很多版本,比如28nm工藝,先后就有LP、HPM、HPC、HPC+四種版本。
2016-05-18 10:52:364402

三星vs臺積電 7nm工藝誰能領先一步?

三星與臺積電工藝之戰(zhàn)從三星跳過20nm工藝而直接開發(fā)14nmFinFET打響,從10nm到如今的7nm之爭,無論誰領先一步,都是半導體工藝的重大突破。 在半導體代工市場上,臺積電一直都以領先的工藝
2017-03-02 01:04:491675

ARM成功流片20nm Cortex-A15多核芯片

此前曾經(jīng)報道ARM的下一代架構Cortex A15將提供雙倍于Cortex A9的性能,產(chǎn)品采用TSMC的28nm工藝,不過就在今天ARM和TSMC聯(lián)合宣布已經(jīng)成功流片20nm ARM Cortex-A15 MPCore芯片。
2011-10-19 09:10:401463

3nm 工藝的產(chǎn)量比 5nm 工藝提升 30% 或用在蘋果A17 芯片

蘋果的主要芯片供應商臺積電(TSMC)有望在今年下半年開始風險生產(chǎn) 3nm 制造工藝,屆時該晶圓廠將有能力處理 3 萬片使用更先進技術打造的晶圓。
2021-03-02 10:00:152864

TSMC350nm工藝庫是不是不太適合做LC-VCO?。?/a>

工藝TSMC0.18um和TSMC0.18umrf有什么區(qū)別呢?

工藝TSMC0.18um和TSMC0.18umrf有什么區(qū)別呢?求大神解答
2021-06-23 07:33:12

Altera Cyclone V SoC器件的完整電源解決方案

描述 PMP9353 參考設計是 Altera Cyclone V SoC 器件的完整電源解決方案。此設計使用多個 LMZ3 系列模塊、兩個 LDO 和一個 DDR 終端穩(wěn)壓器提供SoC 芯片
2022-09-26 07:58:34

Altera? Cyclone? V SoC FPGA 電源解決方案

描述PMP9353 參考設計是 Altera Cyclone V SoC 器件的完整電源解決方案。此設計使用多個 LMZ3 系列模塊、兩個 LDO 和一個 DDR 終端穩(wěn)壓器提供SoC 芯片供電
2015-05-11 16:45:44

Altera率先交付高性能28nm FPGA量產(chǎn)芯片

Altera公司近期宣布,開始交付業(yè)界第一款高性能28-nm FPGA量產(chǎn)芯片。Stratix V FPGA是唯一使用TSMC 28HP工藝制造的FPGA,比競爭解決方案高出一個速率等級
2012-05-14 12:38:53

Socionext推出適用于5G Direct-RF收發(fā)器應用的7nm ADC/DAC

,Socionext推出全新Direct-RF IP,該IP采用TSMC 7nm FinFET(N7)工藝設計,能在單芯片(Single die)上直接集成32TRX和64TRX,相較于市面上采用分立器件
2023-03-03 16:34:39

XX nm制造工藝是什么概念

XX nm制造工藝是什么概念?為什么說7nm是物理極限?
2021-10-20 07:15:43

Xilinx UltraScale 系列發(fā)布常見問題匯總

有沒有擴展UltraScale產(chǎn)品系列的計劃?  除了采用臺積電公司(TSMC20nm SoC工藝技術構建的Kintex和Virtex UltraScale器件之外,賽靈思還將推出采用臺積電16nm
2013-12-17 11:18:00

Xilinx Ultrascale 16nm FPGA/SoC電源解決方案

采用與 PMBus 兼容的 20A 集成 FET 降壓轉(zhuǎn)換器,從而為其余電源提供所需的電源軌來為 FPGA 供電。此外還具有兩個用于靈活加電和斷電排序的 LM3880。此設計采用 12V 輸入電壓
2018-11-19 14:58:25

Xilinx(r) Ultrascale(r) 16nm FPGA/SoC 電源解決方案

采用與 PMBus 兼容的 20A 集成 FET 降壓轉(zhuǎn)換器,從而為其余電源提供所需的電源軌來為 FPGA 供電。此外還具有兩個用于靈活加電和斷電排序的 LM3880。此設計采用 12V 輸入電壓
2015-05-11 10:46:35

三星宣布:DRAM工藝可達10nm

三星電子近日在國際學會“IEDM 2015”上就20nm工藝的DRAM開發(fā)發(fā)表了演講。演講中稱,三星此次試制出了20nm工藝的DRAM,表示可以“采用同樣的方法,達到10nm工藝”。 國際電子器件
2015-12-14 13:45:01

如何利用FPGA滿足電信應用中的降低功耗要求?

復雜器件專業(yè)技術相結合,將為系統(tǒng)供應商提供低功耗的芯片方案,供他們在此基礎上持續(xù)提高帶寬容量,完成更智能的處理。此外,TPACK提供的芯片解決方案可以導入到最新的FPGA中,進一步降低功耗。最終實現(xiàn)
2019-07-31 07:13:26

TSMC90nm工藝庫,請問可以分享一下嗎?

TSMC90nm工藝庫,請問可以分享一下嗎?
2021-06-22 06:21:52

求一份tsmc 7nm standard cell library

求一份tsmc 7nm standard cell library求一份28nm或者40nm 的數(shù)字庫
2021-06-25 06:39:25

求適合SoC20V輸入至1V、15A輸出的解決方案?

適合SoC20V輸入至1V、15A輸出解決方案LTC7151S的主要參數(shù)
2021-03-11 06:22:28

用于SoC和μP應用的高效率20A單片靜音開關穩(wěn)壓器

SoC20 V輸入解決方案該LTC7150S提出了用于工業(yè)和汽車電源,高性能的吧。它具有高效率,小外形和低EMI。集成的高性能MOSFET和熱管理功能可在高達20V的輸入電壓下實現(xiàn)高達20A的電流可靠
2019-03-09 11:46:55

用于SoC和μP應用的高效率20A單片靜音開關穩(wěn)壓器

。20用于SoC20 V輸入解決方案該LTC7150S提出了用于工業(yè)和汽車電源,高性能的吧。它具有高效率,小外形和低EMI。集成的高性能MOSFET和熱管理功能可在高達20V的輸入電壓下實現(xiàn)高達20
2018-09-13 14:28:48

用于SoC20V輸入的20A解決方案

的DDR3和LPDDR4,以及5V,3.3V和1.8V的外設和輔助組件。此外,先進的SoC需要比傳統(tǒng)PWM控制器和MOSFET所能提供的更高的性能。因此,必要的解決方案必須更緊湊,具有更高的電流能力,更高
2018-12-26 09:17:59

暢談20 nm技術發(fā)展前景

,單片無源組件,以及靜電放電保護結構等,成本差距要比僅采用高密度邏輯的SoC大得多。非常簡單的是,對于SoC移植到20 nm,應該有一些優(yōu)點——集成、性能、能效,以及IP應用等,要優(yōu)于28 nm。否則
2014-09-01 17:26:49

給Altera Arria 10 FPGA和Arria 10 SoC供電:經(jīng)過測試和驗證的電源管理解決方案

內(nèi)核供電,這有幾個特點以配合 SoC 的節(jié)能方案: Arria 10 的 SmartVID 采用 DC/DC 穩(wěn)壓器的集成型 6 位并行 VID 接口,以控制 DC/DC 穩(wěn)壓器以及降低靜態(tài)和動態(tài)狀態(tài)
2018-10-29 17:01:56

設計理念 # 1: 用于SoC和μP應用的高效率20A單片靜音開關穩(wěn)壓器

A的電流可靠連續(xù)傳輸,無需散熱或氣流,是工業(yè),運輸和汽車應用中SoC,F(xiàn)PGA,DSP,GPU和μP的理想選擇。圖1顯示了采用1MHz時LTC7150S開關的SoC和CPU功耗的20A解決方案的1.2V
2018-09-25 09:34:50

請問FPGA中的nm是什么意思?

像我們看到的Xilinx 28nm Virtex 7 28mm或者20nm 的UltraScale啊。nm在FPGA里面具體指什么呢
2018-10-08 17:18:18

適用于FPGA、GPU和ASIC系統(tǒng)的電源管理

FPGA、ASIC、GPU 和微處理器以及采用這些及其他數(shù)字組件的系統(tǒng)之要求。利用經(jīng)過驗證的電源管理解決方案設計電源管理電路,將確保項目從一開始就很有把握。這是讓設計方案從原型階段快速進入生產(chǎn)階段的關鍵
2018-10-15 10:30:31

銳成芯微宣布在22nm工藝上推出雙模藍牙射頻IP

40nm工藝節(jié)點推出藍牙IP解決方案,并已進入量產(chǎn)。此次推出的22nm雙模藍牙射頻IP將使得公司的智能物聯(lián)網(wǎng)IP平臺更具特色。結合銳成芯微豐富的模擬IP、存儲IP、接口IP、IP整合及芯片定制服務、專業(yè)及時的技術支持,銳成芯微期待為廣大物聯(lián)網(wǎng)應用市場提供更完善的技術解決方案。
2023-02-15 17:09:56

面向移動通信無線基站的Xilinx(r) Ultrascale(r) 16nm FPGA/SoC電源解決方案

IC 采用與 PMBus 兼容的 20A 集成 FET 降壓轉(zhuǎn)換器,從而為其余電源提供所需的電源軌來為 FPGA 供電。此外還具有兩個用于靈活加電和斷電排序的 LM3880。此設計采用 12V 輸入
2022-09-28 06:56:35

虹晶提供基于特許65nm LPe制程的SoC方案

虹晶提供基于特許65nm LPe制程的SoC方案 虹晶科技(Socle Technology)即日起提供基于特許半導體65nm低功耗強化(65nm LPe)制程系統(tǒng)單芯片平臺解決方案(SoC Platform Solution)。此一解
2009-11-04 17:03:061003

臺積電又跳過22nm工藝 改而直上20nm

臺積電又跳過22nm工藝 改而直上20nm 為了在競爭激烈的半導體代工行業(yè)中提供最先進的制造技術,臺積電已經(jīng)決定跳過22nm工藝的研
2010-04-15 09:52:16867

GlobalFoundries流片20nm測試芯片

GlobalFoundries日前試產(chǎn)了20nm測試芯片,該芯片采用Cadence,Magma,Mentor Graphics和Synopsys的設計工具。此次試制的測試芯片使用了雙重圖形(Double Patterning),每家EDA合作伙伴都提供了大量的布局
2011-09-01 09:53:111269

TSMC擬大幅提升28nm晶元售價

雖然TSMC對于旗下28nm工藝依然保持著較為保守的態(tài)度,但是根據(jù)近期非官方的報道,由于來自官戶的需求不斷提升,TSMC將會對28nm晶元進行提價。
2011-09-16 09:30:03955

珠海全志科技采用TSMC 55nm“半世代”工藝成功推出A10芯片平臺

  珠海全志科技與TSMC今(26)日共同宣布,成功推出采用TSMC55納米工藝生產(chǎn)的A10系列系統(tǒng)整合芯片(SoC)平臺,藉由搭配珠海全志科技全新的Android 4.0.3 軟件開發(fā)工具包(Software Devel
2012-03-27 08:52:402408

臺積電:20nm僅會提供一種制程

  晶圓代工巨擘臺積電(TSMC)日前表示,將在 20nm 節(jié)點提供單一製程,這與該公司過去針對不同製程節(jié)點均提供多種製程服務的策略稍有不同。
2012-04-22 11:09:441076

GlobalFoundries開始安裝20nm TSV設備

  GlobalFoundries 已開始在紐約的 Fab 8 廠房中安裝硅穿孔(TSV)設備。如果一切順利,該公司希望在2013下半年開始採用 20nm 及 28nm 製程技術製造3D堆疊晶片。
2012-05-01 10:13:121039

臺積電重金投入R&D 專注20與14nm工藝研發(fā)

據(jù)報道,2012年臺積電準備為其R&D投入13億美元,作為本年度資本支出預算中的一部分。去年,臺積電的R&D預算首次突破10億美元。而今年多出的30%將會用于20nm和14nm工藝研發(fā)。20nm工藝預計
2012-05-15 10:18:21675

臺積電將于下月試產(chǎn)20nm芯片

據(jù)臺灣媒體報道,臺積電(TSMC)預計會在下月試產(chǎn)20nm芯片制程,即將成為全球首家進入20nm技術的半導體公司。若該芯片試產(chǎn)成功,將超越英特爾(Intel)的22nm制程,拉開與三星電子(
2012-07-18 09:44:33840

ARM和Globalfoundries聯(lián)手研發(fā)20nm移動芯片

8月14日消息,ARM和芯片工廠Globalfoundries日前宣布,雙方將聯(lián)手研發(fā)20nm工藝節(jié)點和FinFET技術。 ARM之前和臺積電進行了緊密合作,在最近發(fā)布了若干使用臺積電28nm工藝節(jié)點制作的硬宏處理
2012-08-14 08:48:11636

TSMC持續(xù)開發(fā)先進工藝技術節(jié)點 中國IC設計發(fā)展可期

隨著芯片微縮,開發(fā)先進工藝技術的成本也越來越高。TSMC對外發(fā)言人孫又文表示,臺積電會繼續(xù)先進工藝技術節(jié)點的投入和開發(fā),今年年底臺積電將推出20nm工藝
2012-08-30 14:34:301782

Altera公司20nm器件創(chuàng)新業(yè)界高性能

Altera公司昨日公開了在其下一代20nm產(chǎn)品中規(guī)劃的幾項關鍵創(chuàng)新技術。延續(xù)在硅片融合上的承諾,Altera向客戶提供終極系統(tǒng)集成平臺,以結合FPGA的硬件可編程功能、數(shù)字信號處理器和微
2012-09-07 09:25:04657

基于Altera 20nm及更小尺寸工藝的系統(tǒng)技術特性及功能

每一代硅片新技術既帶來了新機遇,也意味著挑戰(zhàn),因此,當我們設計系統(tǒng)時,需要重新審視最初所作出的成本和功耗決定。20 nm以及今后的硅片技術亦是如此。 Altera在 20nm 制造節(jié)點的
2012-09-07 09:41:08477

臺積電20nm制程獲將用于蘋果A7試產(chǎn)

臺積電積極開發(fā)20nm制程,花旗環(huán)球證券指出,在技術領先優(yōu)勢下,未來1~2年內(nèi)有機會獨吞蘋果(Apple)A7處理器訂單。野村證券評估,臺積電明年第1季開始試產(chǎn)A7,順利的話,后年上半
2012-09-28 09:40:061048

Mentor的CalibreLFD獲得TSMC20nm制造工藝認證

Mentor Graphics公司日前宣布Calibre LFD(光刻友好設計)光刻檢查工具已獲得TSMC20nm IC制造工藝認證。 Calibre LFD可對熱點進行識別,還可對設計工藝空間是否充足進行檢查。光學臨近校正法
2012-09-29 10:30:461761

Mentor CalibreLFD獲得TSMC20nm制造工藝認證

電子發(fā)燒友網(wǎng)核心提示 :Mentor Graphics公司日前宣布Calibre LFD(光刻友好設計)光刻檢查工具已獲得TSMC20nm IC制造工藝認證。 Calibre LFD可對熱點進行識別,還可對設計工藝空間是否充足進
2012-10-08 16:00:14915

Altera:20nm技術延續(xù)硅片融合承諾

近期,Altera發(fā)布其下一代20nm產(chǎn)品中規(guī)劃的幾項關鍵創(chuàng)新技術,延續(xù)在硅片融合上的承諾,克服了20nm設計五大挑戰(zhàn),實現(xiàn)了系統(tǒng)集成、串行帶寬、DSP性能三大突破。
2012-10-16 11:29:101077

TSMC 20納米的設計架構選擇Cadence解決方案

全球電子設計創(chuàng)新領先企業(yè)Cadence設計系統(tǒng)公司日前宣布TSMC已選擇Cadence解決方案作為其20納米的設計架構。Cadence解決方案包括Virtuoso定制/模擬以及Encounter RTL-to-Signoff平臺。
2012-10-22 16:48:03909

深入剖析FPGA 20nm工藝 Altera創(chuàng)新發(fā)展之道

電子發(fā)燒友網(wǎng)核心提示: 本文就可編程邏輯廠商阿爾特拉(Altera)公司首次公開的20nm創(chuàng)新技術展開調(diào)查以及深入的分析;深入闡述了FPGA邁向20nm工藝,Altera憑借其異構3D IC、高速收發(fā)器
2012-11-01 13:48:581993

賽靈思(Xilinx)解讀20nm的價值:繼續(xù)領先一代

電子發(fā)燒友網(wǎng)訊:關于摩爾定律的經(jīng)濟活力問題,有很多的討論。在過去的一年中,20nm節(jié)點進入到這個辯論的前沿和中心。無論說辭如何,包括賽靈思在內(nèi)的行業(yè)領導在20nm研發(fā)上的積極
2012-11-14 11:19:521196

NVIDIA選中臺積電20nm 將制造“麥克斯韋”

據(jù)《韓國日報》報道,NVIDIA在新制造工藝上已經(jīng)選中了臺積電的20nm,雙方的長期合作將繼續(xù)深入下去,而這也意味著,NVIDIA代號麥克斯韋(Maxwell)的下代GPU仍將出自臺積電之手。
2012-12-07 17:00:14839

搶占20nm制高點,Xilinx下一代產(chǎn)品優(yōu)勢全解析

Xilinx公布其在20nm產(chǎn)品的表現(xiàn)上還將保持領先一代的優(yōu)勢,究竟在20 nm制程上,Xilinx的產(chǎn)品有哪些演進使其保持領先競爭對手一代的優(yōu)勢?詳見本文
2013-01-10 09:33:43961

賽靈思發(fā)布UltraScale架構,20nm開始投片

賽靈思公司今天宣布,延續(xù)28nm工藝一系列行業(yè)創(chuàng)新,在20nm工藝節(jié)點再次推出兩大行業(yè)第一:投片半導體行業(yè)首款20nm器件,也是可編程邏輯器件(PLD)行業(yè)首款20nm All
2013-07-09 20:01:503807

Xilinx業(yè)界首款20nm All Programmable產(chǎn)品開始發(fā)貨

(TWSE: 2330, NYSE: TSM)生產(chǎn)的半導體產(chǎn)業(yè)首款20nm產(chǎn)品,同時也是可編程邏輯器件(PLD)產(chǎn)業(yè)首款20nm All Programmable 產(chǎn)品。賽靈思UltraScale?器件采用
2013-11-12 11:24:051214

Altera的Arria 10版Quartus II軟件為立即開始20 nm設計提供支持

2013年12月3號,北京——Altera公司(Nasdaq: ALTR)今天發(fā)布了Arria 10版Quartus II軟件,這是業(yè)界第一款支持20 nm FPGA和SoC的開發(fā)工具?;?b class="flag-6" style="color: red">TSMC
2013-12-03 10:48:471607

20/16nm將成主流 先進工藝怎適應?

017年20nm、16nm及以下的先進工藝將成為主流,這對我們設計業(yè)、制造業(yè)是一個很大的啟示:我們怎么樣適應全球先進工藝。
2013-12-16 09:40:211925

Mentor Graphics獲得TSMC 10nm FinFET工藝技術認證

? Analog FastSPICE? 電路驗證平臺已完成了電路級和器件級認證,Olympus-SoC? 數(shù)字設計平臺正在進行提升,以幫助設計工程師利用 TSMC 10nm FinFET 技術更有效地驗證和優(yōu)化其設計。10nm V1.0 工藝的認證預計在 2015 年第 4 季度完成。
2015-09-21 15:37:101300

Mentor Graphics 提供TSMC 集成扇出型封裝技術的支持

 WILSONVILLE, Ore., 2016年3月15日— Mentor Graphics公司(納斯達克代碼:MENT)今天發(fā)布了一款結合設計、版圖布局和驗證的解決方案,為TSMC集成扇出型 (InFO) 晶圓級封裝技術的設計應用提供支持。
2016-03-15 14:06:02988

三星/TSMC/Intel/AMD爭先恐后研發(fā)7nm

2016年半導體的主流工藝是14/16nm FinFET工藝,主要有Intel、TSMC及三星/GlobalFoundries(格羅方德)三大陣營,下一個節(jié)點是10nm,三方都會在明年量產(chǎn),不過
2016-05-30 11:53:53858

使用Xilinx 20nm工藝的UltraScale FPGA來降低功耗的19種途徑

在絕大部分使用電池供電和插座供電的系統(tǒng)中,功耗成為需要考慮的第一設計要素。Xilinx決定使用20nm工藝的UltraScale器件來直面功耗設計的挑戰(zhàn),本文描述了在未來的系統(tǒng)設計中,使用Xilinx 20nm工藝的UltraScale FPGA來降低功耗的19種途徑。
2018-07-14 07:21:005058

20nm技術的發(fā)展應景

  20nm會延續(xù)摩爾定律在集成上發(fā)展趨勢,但是要付出成本代價。2.5D封裝技術的發(fā)展,進一步提高了集成度,但是也增大了成本,部分解決了DRAM總線電源和帶寬問題,在一個封裝中集成了種類更多的IC。隨著系統(tǒng)性能的提高,這一節(jié)點也增加了體系結構的復雜度。目前為止,它也是功耗管理最復雜的節(jié)點。
2017-09-15 09:54:3010

淺析TSMC和FinFET工藝技術的Mentor解決方案

Technology (12FFC) 和最新版本 7nm FinFET Plus 工藝的認證。Nitro-SoCTM 布局和布線系統(tǒng)也通過了認證,可以支持 TSMC 的 12FFC 工藝技術。
2017-10-11 11:13:422372

賽靈思業(yè)界20nm技術首次投片標志著UltraScale架構時代來臨

在28nm技術突破的基礎上,賽靈思又宣布推出基于20nm節(jié)點的兩款業(yè)界首創(chuàng)產(chǎn)品。賽靈思是首家推出20nm商用芯片產(chǎn)品的公司。此外,該新型器件也是賽靈思將向市場推出的首款采用UltraScale技術
2018-01-12 05:49:45706

三星和臺積電搶奪蘋果A13肥單_臺積電7nm工藝進度提前拿下大單

在三星和臺積電的搶奪蘋果訂單過程中,臺積電近年憑借優(yōu)異的晶圓代工技術和龐大產(chǎn)能,在20nm、10nm及7nm三個工藝階段全都拿下了蘋果大單,全面領先三星。而三星從未言敗一直密謀分食訂單,7nm工藝
2018-04-07 00:30:008927

Platform 中的多項工具已通過TSMC最新版5nm FinFET 和 7nm FinFET Plus 工藝的認證

。Mentor 的工具和 TSMC 的新工藝將協(xié)助雙方共同客戶更快地為高增長市場提供芯片創(chuàng)新。 TSMC 設計基礎架構營銷部資深總監(jiān) Suk Lee 表示:“Mentor 通過提供更多功能和解決方案來支持我們最先進的工藝,持續(xù)為TSMC 生態(tài)系統(tǒng)帶來了了更高的價值。
2018-05-17 15:19:003391

Synopsys設計平臺獲得TSMC工藝認證_7-nm FinFET Plus工藝技術

7-nm FinFET Plus工藝的極紫外光刻技術,IC Compiler II 進行了專門的優(yōu)化,進一步節(jié)省芯片面積。 采用TSMC的Wafer-on-Wafer(WoW)技術,平臺內(nèi)全面支持
2018-05-17 06:59:004461

Synopsys 設計平臺獲得TSMC最新版且最先進的5nm工藝

Synopsys Synopsys近日宣布, Synopsys 設計平臺獲得TSMC最新版且最先進的5nm工藝技術認證,可用于客戶先期設計。通過與TSMC的早期密切協(xié)作,IC CompilerII
2018-06-01 09:35:003784

蘋果A13芯片繼續(xù)采用7nm工藝:臺積電代工

如果沒有意外,蘋果今年的旗艦手機將會配備臺積電生產(chǎn)的A12芯片,該芯片采用7nm工藝,在目前位置已經(jīng)算非常先進了。不過最新消息稱,蘋果下代A13芯片,還是會采用7nm芯片。
2018-06-28 10:46:214269

中芯國際在先進工藝制程上可望加快追趕海外企業(yè)的速度

20nm工藝導致僅有華為海思等有限的兩個客戶采用,直到2015年引入FinFET工藝發(fā)展成為16nmFinFET工藝才獲得了包括蘋果A9處理器等芯片的訂單,廣受芯片企業(yè)的認可,可見FinFET工藝的重要性。
2018-09-02 09:00:133310

新思科技推出基于TSMC 7nm FinFET工藝技術的汽車級IP

基于7nm工藝技術的控制器和PHY IP具有豐富的產(chǎn)品組合,包括LPDDR4X、MIPI CSI-2、D-PHY、PCI Express 4.0以及安全IP。 IP解決方案支持TSMC 7nm工藝技術所需的先進汽車設計規(guī)則,滿足可靠性和15年汽車運行要求。
2018-10-18 14:57:216541

Synopsys推出支持TSMC 7nm工藝技術

級IP進一步擴展了新思科技FinFET工藝的ISO 26262 ASIL Ready IP解決方案的產(chǎn)品組合,并已被十余家領先的汽車廠商所采用。該IP滿足嚴格的AEC-Q100溫度要求,為汽車芯片提供
2018-11-13 16:20:231517

三星發(fā)布第二款采用8nm工藝打造的SoC芯片

繼Exynos 9820后,三星電子今天(1月3日)發(fā)布第二款采用8nm工藝打造的SoC芯片產(chǎn)品。
2019-01-04 14:24:243498

Intel Arria ARM Cortex 20nm SoC FPGA上的8個電源開啟順序的確定

ADI Guneet Chadha探討電源系統(tǒng)管理(PSM)如何確定Intel Arria ARM Cortex 20nm SoC FPGA上8個電源的時序或按照預定順序開啟各電源
2019-07-24 06:16:001618

Xilinx宣布與TSMC開展7nm工藝合作

“臺積公司是我們在 28nm、20nm 和 16nm 實現(xiàn)‘三連冠(3 Peat)’成功的堅實基礎。其出色的工藝技術、3D 堆疊技術和代工廠服務,讓賽靈思在出色的產(chǎn)品、優(yōu)異的品質(zhì)、強大的執(zhí)行力以及領先的市場地位上享有了無與倫比的聲譽。
2019-08-01 09:24:522209

Xilinx投片首個ASIC級可編程架構的行業(yè)首款20nm器件

賽靈思UltraScale架構:行業(yè)第一個ASIC級可編程架構,可從20nm平面晶體管結構 (planar)工藝向16nm乃至FinFET晶體管技術擴展,從單芯片(monolithic)到3D IC擴展。
2019-12-18 15:30:23801

新思科技與TSMC合作為封裝解決方案提供經(jīng)認證的設計流程

重點 ● TSMC認證基于新思科技3DIC Compiler統(tǒng)一平臺的CoWoS和InFO設計流程 ● 3DIC Compiler可提高先進封裝設計生產(chǎn)率 ● 集成Ansys芯片封裝協(xié)同分析解決方案
2020-10-14 11:11:212099

A14 Bionic芯片能否為蘋果Mac的SoC提供想法?

蘋果公司的A14 Bionic SoC由118億個晶體管組成,采用臺積電(TSMC)的N5(5nm工藝技術制成。該芯片封裝了六個通用處理內(nèi)核,其中包括兩個高性能FireStorm內(nèi)核和四個IceStorm內(nèi)核。SoC具有四集群GPU,具有11 TOPS性能的16核神經(jīng)引擎以及各種專用加速器。
2020-10-30 14:32:521833

紫光展銳發(fā)布了首款采用SoC單芯片設計的5G方案

今年2月,紫光展銳發(fā)布了首款采用SoC單芯片設計的5G方案“虎賁T7520”,采用了6nm EUV工藝制造,擁有多層極紫外光刻技術加持,相比初代7nm晶體管密度提高18%,芯片功耗則可降低8%。
2020-11-12 09:54:372444

三星在5nm工藝能否與臺積電一較高下?

三星在上海正式發(fā)布旗下首款采用5nm工藝制程的手機處理器Exynos 1080,這是繼蘋果A14、海思麒麟9000之后,全球第三款5nm AP,也是業(yè)界第二款集成5G基帶的5nm SoC。
2020-11-20 09:57:2411345

Arasan宣布用于臺積公司22nm工藝技術的eMMC PHY IP立即可用

中的eMMC PHY IP可與Arasan的eMMC 5.1主機控制器IP和軟件無縫集成,從而為客戶提供基于臺積公司22nm工藝的完整eMMC IP解決方案。 Arasan憑借其D-PHY v1.1 IP @1.5ghz、D-PHY v1.2 IP @
2021-01-21 10:18:232385

郭明錤:蘋果A16處理器仍將采用臺積電5nm工藝

的N4P及N3工藝要到明年才能實現(xiàn)量產(chǎn),而目臺積電的N4工藝和N5P工藝相比不具備顯著優(yōu)勢,,與其花費精力去采用N4工藝,不如再等一段時間直接在A16的下一代處理器上搭載最新工藝,故而蘋果的A16處理器仍將使用5nm工藝。 雖然這次的A16還是采用
2022-05-30 16:29:011835

蘋果M2 Pro和M3芯片將會采用臺積電3nm工藝蘋果或許沒那么好心

今日,據(jù)DIGITIMES科技網(wǎng)報道稱,蘋果的M2 Pro和M3芯片將會采用臺積電3nm制程工藝。 據(jù)了解,臺積電將于今年下半年正式量產(chǎn)3nm芯片,而蘋果已經(jīng)為其M2 Pro和M3芯片預定
2022-06-29 16:34:042260

基于20nm工藝制程的FPGA—UltraScale介紹

UltraScale是基于20nm工藝制程的FPGA,而UltraScale+則是基于16nm工藝制程的FPGA。
2023-03-09 14:12:544129

已全部加載完成