電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>EDA/IC設(shè)計(jì)>Cadence和臺(tái)積電加強(qiáng)合作,共同為16納米FinFET工藝技術(shù)開發(fā)設(shè)計(jì)架構(gòu)

Cadence和臺(tái)積電加強(qiáng)合作,共同為16納米FinFET工藝技術(shù)開發(fā)設(shè)計(jì)架構(gòu)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

三星使用EUV成功完成5nm FinFET工藝開發(fā)

16日,三星電子宣布在基于EUV的高級(jí)節(jié)點(diǎn)方面取得了重大進(jìn)展,包括7nm批量生產(chǎn)和6nm客戶流片,以及成功完成5nm FinFET工藝開發(fā)。 三星電子宣布其5納米(nm)FinFET工藝技術(shù)開發(fā)
2019-04-18 15:48:476010

Cadence攜手TSMC開發(fā)3D IC設(shè)計(jì)基礎(chǔ)架構(gòu)

全球電子設(shè)計(jì)創(chuàng)新企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司日前宣布其與TSMC在3D IC設(shè)計(jì)基礎(chǔ)架構(gòu)開發(fā)方面的合作。
2012-06-11 09:47:431071

Synopsys提供基于FinFET技術(shù)的半導(dǎo)體設(shè)計(jì)綜合解決方案

新思科技公司(Synopsys)在過去五年多與行業(yè)領(lǐng)導(dǎo)者合作共同開發(fā)了對(duì)FinFET技術(shù)的支持,通過提供經(jīng)生產(chǎn)驗(yàn)證的設(shè)計(jì)工具與IP來推進(jìn)對(duì)FinFET技術(shù)的采用。
2013-02-19 10:42:54823

ARM攜手Cadence推出首款TSMC16納米FinFET制程Cortex-A57 64位處理器

ARM (LSE:ARM; Nasdaq: ARMH) 和Cadence (NASDAQ: CDNS) 今天宣布合作細(xì)節(jié),揭示其共同開發(fā)首款基于臺(tái)積電16納米FinFET制程的ARM?Cortex?-A57處理器,實(shí)現(xiàn)對(duì)16納米性能和功耗縮小的承諾。
2013-04-07 13:46:441509

Altera與臺(tái)積在55納米嵌入式閃存工藝技術(shù)領(lǐng)域展開合作

Altera公司與臺(tái)積公司今日共同宣布在55納米嵌入式閃存 (EmbFlash) 工藝技術(shù)上展開合作,Altera公司將采用臺(tái)積公司的55納米前沿嵌入式閃存工藝技術(shù)生產(chǎn)可程序器件,廣泛支持汽車及工業(yè)等各類市場(chǎng)的多種低功耗、大批量應(yīng)用。
2013-04-16 09:05:09925

Cadence設(shè)計(jì)工具通過臺(tái)積電16nm FinFET制程認(rèn)證

Cadence系統(tǒng)芯片開發(fā)工具已經(jīng)通過臺(tái)積電(TSMC) 16納米 FinFET制程的設(shè)計(jì)參考手冊(cè)第0.1版與 SPICE 模型工具認(rèn)證,客戶現(xiàn)在可以享用Cadence益華電腦流程為先進(jìn)制程所提供的速度、功耗與面積優(yōu)勢(shì)。
2013-06-06 09:26:451236

16納米來了!臺(tái)積電試產(chǎn)16nm FinFET Plus

昨日臺(tái)積電官方宣布,16nm FinFET Plus(簡(jiǎn)稱16FF+)工藝已經(jīng)開始風(fēng)險(xiǎn)性試產(chǎn)。16FF+是標(biāo)準(zhǔn)的16nm FinFET的增強(qiáng)版本,同樣有立體晶體管技術(shù)在內(nèi),號(hào)稱可比20nm SoC平面工藝性能提升最多40%,或者同頻功耗降低最多50%。
2014-11-14 09:31:582127

三星10納米芯片制造工藝助力處理器升級(jí)

在國(guó)際電子電路研討會(huì)大會(huì)(ISSCC)上,三星展示了采用10納米FinFET工藝技術(shù)制造的300mm晶圓,這表明三星10納米FinFET工藝技術(shù)最終基本定型。
2015-05-28 10:25:271715

格芯為高性能應(yīng)用推出全新12納米 FinFET技術(shù)

12納米領(lǐng)先性能(12LP)的FinFET半導(dǎo)體制造工藝。該技術(shù)預(yù)計(jì)將提高當(dāng)前代14納米 FinFET產(chǎn)品的密度和性能,同時(shí)滿足從人工智能、虛擬現(xiàn)實(shí)到高端智能手機(jī)、網(wǎng)絡(luò)基礎(chǔ)設(shè)施等最具計(jì)算密集型處理需求的應(yīng)用。 這項(xiàng)全新的12LP技術(shù)與當(dāng)前市場(chǎng)上的16 /14納米 FinFET解決方案相比,電路密度提高
2017-09-25 16:12:368666

聯(lián)電聯(lián)手AVALANCHE 合作開發(fā)28納米MRAM技術(shù)

據(jù)臺(tái)灣經(jīng)濟(jì)日?qǐng)?bào)最新消息,聯(lián)電(2303)與下一代ST-MRAM(自旋轉(zhuǎn)移力矩磁阻RAM)領(lǐng)導(dǎo)者美商Avalanche共同宣布,合作技術(shù)開發(fā)MRAM及相關(guān)28納米產(chǎn)品;聯(lián)電即日起透過授權(quán),提供客戶具有成本效益的28納米嵌入式非揮發(fā)性MRAM技術(shù)
2018-08-09 10:38:123129

中芯國(guó)際上半年?duì)I收17.22億美元 14納米FinFET技術(shù)獲重大進(jìn)展

8月30日,中芯國(guó)際發(fā)布2018年中期業(yè)績(jī),收入同比增長(zhǎng)11.5%至17.22億美元;毛利同比增長(zhǎng)5.6%至4.38億美元。中芯國(guó)際在14納米FinFET技術(shù)開發(fā)上獲得重大進(jìn)展。中芯國(guó)際的第一代FinFET技術(shù)研發(fā)已進(jìn)入客戶導(dǎo)入階段。
2018-08-31 14:44:335140

2020年半導(dǎo)體制造工藝技術(shù)前瞻

  晶體管制造工藝在近年來發(fā)展得不是非常順利,行業(yè)巨頭英特爾的主流產(chǎn)品長(zhǎng)期停滯在14nm上,10nm工藝性能也遲遲得不到改善。臺(tái)、三星等巨頭雖然在積極推進(jìn)7nm乃至5nm工藝,但是其頻率和性能
2020-07-07 11:38:14

FinFET(鰭型MOSFET)簡(jiǎn)介

增強(qiáng);同時(shí)也極大地減少了漏電流的產(chǎn)生,這樣就可以和以前一樣繼續(xù)進(jìn)一步減小Gate寬度。目前三星和臺(tái)在其14/16nm這一代工藝都開始采用FinFET技術(shù)。圖6:Intel(左:22nm)和Samsung(右:14nm)Fin鰭型結(jié)構(gòu)注:圖3、圖6的圖片來于網(wǎng)絡(luò)。
2017-01-06 14:46:20

臺(tái)0.18工藝電源電壓分別是多少?

臺(tái)0.18工藝電源電壓分別是多少?是1.8v跟3.3v嗎?
2021-06-25 06:32:37

臺(tái)5nm架構(gòu)設(shè)計(jì)試產(chǎn)

臺(tái)宣布5nm基本完工開始試產(chǎn):面積縮小45%、性能提升15%.pdf(105.52 KB)
2019-04-24 06:00:42

臺(tái)或?qū)ⅰ蔼?dú)吞”A7大單

有機(jī)會(huì)“獨(dú)吞”A7代工訂單?! ?b class="flag-6" style="color: red">臺(tái)作為全球規(guī)模最大的專業(yè)集成電路制造公司,其技術(shù)優(yōu)勢(shì)的領(lǐng)先,在業(yè)界可謂屈指可數(shù)。臺(tái)積極開發(fā)20納米制程,花旗環(huán)球證券指出,在技術(shù)領(lǐng)先MAX3232EUE+T優(yōu)勢(shì)下,未來1
2012-09-27 16:48:11

臺(tái)電量產(chǎn)安徽iPhone 8用大時(shí)代10nmA11芯片可靠嗎

臺(tái)正在大量生產(chǎn)用于蘋果iPhone8手機(jī)的10nm A11處理器。消息稱,蘋果可能在下個(gè)月初正式發(fā)布iPhone 8,但是具體發(fā)貨日期仍然不確定?! ?jù)悉,臺(tái)已經(jīng)采用10nm FinFET
2017-08-17 11:05:18

EMC設(shè)計(jì)、工藝技術(shù)基本要點(diǎn)和問題處理流程

EMC設(shè)計(jì)、工藝技術(shù)基本要點(diǎn)和問題處理流程推薦給大家參考。。
2015-08-25 12:05:04

GF退出7納米大戰(zhàn) 三國(guó)鼎立下中國(guó)芯路在何方

技術(shù)開發(fā)成功,同時(shí)透露會(huì)朝第二代的 FinFET 技術(shù)開發(fā)。若***一舉朝 7 納米前進(jìn),將會(huì)成為全球第四家 7 納米技術(shù)供應(yīng)商,與英特爾、臺(tái)、三星分庭抗禮。同時(shí),華為海思的麒麟980也搶先發(fā)布,首款
2018-09-05 14:38:53

Sic mesfet工藝技術(shù)研究與器件研究

Sic mesfet工藝技術(shù)研究與器件研究針對(duì)SiC 襯底缺陷密度相對(duì)較高的問題,研究了消除或減弱其影響的工藝技術(shù)并進(jìn)行了器件研制。通過優(yōu)化刻蝕條件獲得了粗糙度為2?07 nm的刻蝕表面;犧牲氧化
2009-10-06 09:48:48

[轉(zhuǎn)]臺(tái)16nm FinFET Plus及InFO WLP 通吃英特爾蘋果

蘋果晶圓代工龍頭臺(tái)16納米鰭式場(chǎng)效晶體管升級(jí)版(FinFET Plus)將在明年1月全產(chǎn)能量產(chǎn),搭配整合型扇出晶圓尺寸封裝(InFO WLP)的系統(tǒng)級(jí)封裝(SiP)技術(shù),在x86及ARM架構(gòu)64位
2014-05-07 15:30:16

openEuler 社區(qū)完成首批顧問專家聘用,共同為社區(qū)的發(fā)展?貢獻(xiàn)力量

:為把openEuler 打造成全球頂尖的技術(shù)軟件,我們不僅僅要和國(guó)內(nèi)的開發(fā)者社區(qū)和基金會(huì)合作,還要和國(guó)際上先進(jìn)的開發(fā)者社區(qū)和基金會(huì)合作,讓他們?cè)敢鈪⑴c進(jìn)來,共創(chuàng) openEuler 社區(qū)。我愿意
2022-07-29 10:11:11

【AD新聞】百萬片訂單大洗牌!臺(tái)或成高通新一代PMIC芯片最大供應(yīng)商

,未來就要看競(jìng)爭(zhēng)對(duì)手的制程技術(shù)能否趕得上腳步。 近期高通與臺(tái)持續(xù)緊密合作,業(yè)界傳出在最先進(jìn)的7納米制程技術(shù)上,臺(tái)因?yàn)?b class="flag-6" style="color: red">技術(shù)開發(fā)領(lǐng)先三星電子(Samsung Electronics),可望拿回高通7
2017-09-22 11:11:12

【AD新聞】競(jìng)爭(zhēng)激烈!臺(tái)中芯搶高通芯片訂單

了高通的訂單。之后,中芯國(guó)際憑借極具競(jìng)爭(zhēng)力的價(jià)格從Globalfoundries手中奪走了訂單,成為高通電源管理芯片的主要合作伙伴。我們知道,在高通的幫助下,中芯國(guó)際實(shí)現(xiàn)了28nm工藝量產(chǎn),而且還加快14nm硅片的量產(chǎn)。由于產(chǎn)能、價(jià)格及新芯片技術(shù)的原因,此次高通將電源管理芯片交給了臺(tái)生產(chǎn)。
2017-09-27 09:13:24

【集成電路】10nm技術(shù)節(jié)點(diǎn)大戰(zhàn)

。這場(chǎng)戰(zhàn)役兩家大廠互有消長(zhǎng),首先是三星的14nm較臺(tái)16nm搶先半年投入量產(chǎn),因兩家大廠的鰭式晶體管(FinFET)設(shè)計(jì)也確有雷同之處,后續(xù)又衍生了競(jìng)業(yè)禁止官司訴訟等故事,無論如何,最終臺(tái)還是
2018-06-14 14:25:19

兩公司合作開發(fā)納米管生物傳感器

  Nano-Proprietary旗下的Applied Nanotech公司與Funai Electric先進(jìn)應(yīng)用技術(shù)研究所日前宣布,雙方將針對(duì)一個(gè)研究項(xiàng)目進(jìn)行合作,共同開發(fā)基于酶涂層碳納米
2018-11-19 15:20:44

使用CPLD技術(shù)開發(fā)PCI板卡有什么優(yōu)點(diǎn)?

CPLD技術(shù)在PCI總線開關(guān)中的應(yīng)用使用CPLD技術(shù)開發(fā)PCI板卡有什么優(yōu)點(diǎn)
2021-04-08 06:47:28

剛?cè)嵝訮CB制造工藝技術(shù)的發(fā)展趨勢(shì)

PCB部件使用PI膜作為柔性芯板,并覆蓋聚酰亞胺或丙烯酸膜。粘合劑使用低流動(dòng)性預(yù)浸料,最后將這些基材層壓在一起以制成剛撓性PCB。剛?cè)嵝訮CB制造工藝技術(shù)的發(fā)展趨勢(shì):未來,剛?cè)峤Y(jié)合PCB將朝著超薄,高密度
2019-08-20 16:25:23

半導(dǎo)體工藝技術(shù)的發(fā)展趨勢(shì)

  業(yè)界對(duì)哪種半導(dǎo)體工藝最適合某一給定應(yīng)用存在著廣泛的爭(zhēng)論。雖然某種特殊工藝技術(shù)能更好地服務(wù)一些應(yīng)用,但其它工藝技術(shù)也有很大的應(yīng)用空間。像CMOS、BiCMOS、砷化鎵(GaAs)、磷化銦(InP
2019-07-05 08:13:58

半導(dǎo)體工藝技術(shù)的發(fā)展趨勢(shì)是什么?

業(yè)界對(duì)哪種半導(dǎo)體工藝最適合某一給定應(yīng)用存在著廣泛的爭(zhēng)論。雖然某種特殊工藝技術(shù)能更好地服務(wù)一些應(yīng)用,但其它工藝技術(shù)也有很大的應(yīng)用空間。像CMOS、BiCMOS、砷化鎵(GaAs)、磷化銦(InP
2019-08-20 08:01:20

半導(dǎo)體發(fā)展的四個(gè)時(shí)代

交給代工廠來開發(fā)和交付。臺(tái)是這一階段的關(guān)鍵先驅(qū)。 半導(dǎo)體的第四個(gè)時(shí)代——開放式創(chuàng)新平臺(tái) 仔細(xì)觀察,我們即將回到原點(diǎn)。隨著半導(dǎo)體行業(yè)的不斷成熟,工藝復(fù)雜性和設(shè)計(jì)復(fù)雜性開始呈爆炸式增長(zhǎng)。工藝技術(shù)
2024-03-13 16:52:37

各類常用工藝庫(kù)臺(tái),中芯國(guó)際,華潤(rùn)上華

各類常用工藝庫(kù)臺(tái),中芯國(guó)際,華潤(rùn)上華
2015-12-17 19:52:34

基于FinFET IP的數(shù)據(jù)轉(zhuǎn)換器設(shè)計(jì)

工藝技術(shù)的演進(jìn)遵循摩爾定律,這是這些產(chǎn)品得以上市的主要促成因素。對(duì)整個(gè)行業(yè)來說,從基于大體積平面晶體管向FinFET三維晶體管的過渡是一個(gè)重要里程碑。這一過渡促使工藝技術(shù)經(jīng)過了幾代的持續(xù)演進(jìn),并且減小
2019-07-17 06:21:02

如何利用TI DLP Pico 技術(shù)開發(fā)頭戴式顯示應(yīng)用?

如何利用TI DLP Pico 技術(shù)開發(fā)頭戴式顯示應(yīng)用?為什么要選擇DLP Pico技術(shù)開發(fā)HMD應(yīng)用?
2021-06-01 06:52:55

如何利用賽靈思28納米工藝加速平臺(tái)開發(fā)?

一半,而性能提高兩倍。通過選擇一個(gè)高性能低功耗的工藝技術(shù),一個(gè)覆蓋所有產(chǎn)品系列的、統(tǒng)一的、可擴(kuò)展的架構(gòu),以及創(chuàng)新的工具,賽靈思將最大限度地發(fā)揮 28 納米技術(shù)的價(jià)值, 為客戶提供具備 ASIC 級(jí)功能
2019-08-09 07:27:00

如何通過軟件無線架構(gòu)加速無線技術(shù)開發(fā)與測(cè)試?

如何通過軟件無線架構(gòu)加速無線技術(shù)開發(fā)與測(cè)試?如何應(yīng)對(duì)無線標(biāo)準(zhǔn)和技術(shù)的快速更新?
2021-05-07 07:05:26

提高多層板層壓品質(zhì)工藝技術(shù)總結(jié),不看肯定后悔

如何提高多層板層壓品質(zhì)在工藝技術(shù)
2021-04-25 09:08:11

日進(jìn)3.3億,年狂掙千億的臺(tái),為何還漲價(jià)?

本帖最后由 華強(qiáng)芯城 于 2023-3-17 09:16 編輯 晶圓代工巨頭——臺(tái)近日傳出漲價(jià)20%的消息,業(yè)內(nèi)轟動(dòng)。這是臺(tái)繼2020年底上漲超10%之后,一年之內(nèi),又一次的大幅上漲
2021-09-02 09:44:44

晶圓凸起封裝工藝技術(shù)簡(jiǎn)介

工藝技術(shù)可用于晶圓凸起,每種技術(shù)有各自的優(yōu)缺點(diǎn)。其中金線柱焊接凸點(diǎn)和電解或化學(xué)鍍金焊接凸點(diǎn)主要用于引腳數(shù)較少的封裝應(yīng)用領(lǐng)域包括玻璃覆晶封裝、軟膜覆晶封裝和RF模塊。由于這類技術(shù)材料成本高、工序
2011-12-01 14:33:02

電子行業(yè)人士帶你入行之納米制程小白篇

的小珠子,使其最后形成一個(gè)10X5比例的長(zhǎng)方形。從這個(gè)實(shí)驗(yàn)不難看出,要達(dá)成這個(gè)目標(biāo)非常不容易,由此可以了解到,各大廠面臨的困境有多么艱難。三星和臺(tái)都在完成14 納米、16 納米 FinFET 的量產(chǎn)
2016-06-29 14:49:15

電子行業(yè)人士帶你入行之納米制程小白篇

的長(zhǎng)方形。從這個(gè)實(shí)驗(yàn)不難看出,要達(dá)成這個(gè)目標(biāo)非常不容易,由此可以了解到,各大廠面臨的困境有多么艱難。三星和臺(tái)都在完成14 納米16 納米 FinFET 的量產(chǎn),并以此為資本爭(zhēng)奪下一代iPhone
2016-12-16 18:20:11

穿戴電子開發(fā)項(xiàng)目,資金雄厚可技術(shù)合作共同開發(fā)!

穿戴電子開發(fā)項(xiàng)目,可技術(shù)合作共同開發(fā)!各位網(wǎng)友大家好,明年是穿戴式電子元年,google glass 年底上市注定會(huì)刮起一陣電子穿戴風(fēng),穿戴電子市場(chǎng)有很大的發(fā)展空間,必定會(huì)為合作伙伴帶來機(jī)會(huì),我們
2013-06-29 17:35:47

蘋果芯片供應(yīng)商名單曝光后 三星哭了!

,所以只能以舊工藝16nm制程)制造A10處理器。除此之外,臺(tái)還將獨(dú)家代工重大變化的2017年版iPhone采用的A11處理器。據(jù)稱A11芯片將采用10納米FinFET工藝,最早有望于明年二季度
2016-07-21 17:07:54

工藝制程,Intel VS臺(tái)誰會(huì)贏?

生產(chǎn)。如果臺(tái)真的能夠完全按照這一時(shí)間展開工作的話,那么就將使該公司徹底走在了芯片制造領(lǐng)域的最前端?! ∧壳埃瑯I(yè)內(nèi)設(shè)備制造廠商大多剛剛開始擁抱14納米芯片工藝,蘋果最新的iPhone 6s系列就是
2016-01-25 09:38:11

請(qǐng)教腐蝕工藝的相關(guān)工藝流程及技術(shù)員的職責(zé)

請(qǐng)?jiān)敿?xì)敘述腐蝕工藝工段的工藝流程以及整個(gè)前道的工藝技術(shù)
2011-04-13 18:34:13

臺(tái)媒:臺(tái)2納米預(yù)計(jì)2025年量產(chǎn)

臺(tái)納米量產(chǎn)行業(yè)芯事時(shí)事熱點(diǎn)
電子發(fā)燒友網(wǎng)官方發(fā)布于 2022-09-13 14:32:34

中芯國(guó)際將45納米工藝技術(shù)延伸至40納米以及55納米

中芯國(guó)際將45納米工藝技術(shù)延伸至40納米以及55納米 上海2009年10月14日電  -- 中芯國(guó)際集成電路制造有限公司(“中芯國(guó)際”,紐約
2009-10-15 08:22:44793

中芯國(guó)際(SMIC)和Cadence 共同推出用于65納米

中芯國(guó)際(SMIC)和Cadence 共同推出用于65納米的低功耗解決方案Reference Flow 4.0 完全集成的能效型流程令快速、輕松地設(shè)計(jì)低功耗尖端器件成為可能
2009-10-31 07:48:011228

中芯國(guó)際(SMIC)和Cadence共同推出用于65納米的低

中芯國(guó)際(SMIC)和Cadence共同推出用于65納米的低功耗解決方案Reference Flow 4.0 全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司今天宣布推出一款全面的低功耗設(shè)計(jì)流程,面向
2009-11-04 17:05:17589

高通攜手TSMC,繼續(xù)28納米工藝合作

高通攜手TSMC,繼續(xù)28納米工藝合作 高通公司(Qualcomm Incorporated)與其專業(yè)集成電路制造服務(wù)伙伴-TSMC前不久日共同宣布,雙方正在28納米工藝技術(shù)進(jìn)行密切合作。此
2010-01-13 08:59:23910

新思科技與中芯國(guó)際合作推出用于中芯65納米低漏電工藝技術(shù)的、

新思科技與中芯國(guó)際合作推出用于中芯65納米低漏電工藝技術(shù)的、獲得USB標(biāo)志認(rèn)證的DesignWareUSB 2.0 nanoPHY 通過芯片驗(yàn)證的DesignWare PHY IP
2010-05-20 17:39:09588

IBM與ARM將合作開發(fā)14納米半導(dǎo)體技術(shù)

據(jù)外國(guó)媒體報(bào)道,IBM和ARM計(jì)劃加強(qiáng)移動(dòng)電子市場(chǎng)合作的同時(shí),還會(huì)共同合作提高14納米半導(dǎo)體技術(shù)
2011-01-19 08:09:55330

中國(guó)IC設(shè)計(jì)公司聚焦世界領(lǐng)先的28納米技術(shù)

中國(guó)頂尖IC設(shè)計(jì)公司已經(jīng)采用了28納米尖端技術(shù)開發(fā)芯片,而9.2% 本地?zé)o晶圓廠半導(dǎo)體公司亦采用先進(jìn)的45納米或以下的工藝技術(shù)進(jìn)行設(shè)計(jì)及大規(guī)模量產(chǎn)。
2011-09-07 11:23:501556

中國(guó)采用28納米技術(shù)開發(fā)芯片

中國(guó)頂尖設(shè)計(jì)公司已經(jīng)采用28納米尖端技術(shù)開發(fā)芯片,而本地9.2%無晶圓廠半導(dǎo)體公司亦采用先進(jìn)的45納米或以下的工藝技術(shù)進(jìn)行設(shè)計(jì)及大規(guī)模量產(chǎn)
2011-09-13 09:00:403212

Crocus與中芯國(guó)際簽署技術(shù)開發(fā)和晶圓制造協(xié)議

Crocus科技和中芯國(guó)際正式簽署合作技術(shù)開發(fā)和晶圓制造協(xié)議。共同研發(fā)高溫MLU的應(yīng)用技術(shù)。
2011-12-09 19:04:21637

集成電路里程碑:三星與Cadence合推20納米設(shè)計(jì)方法

Cadence與三星的合作為移動(dòng)消費(fèi)電子產(chǎn)品帶來了新的工藝進(jìn)展,使得20納米及未來工藝節(jié)點(diǎn)設(shè)計(jì)成為可能。
2012-06-10 10:43:54984

TSMC持續(xù)開發(fā)先進(jìn)工藝技術(shù)節(jié)點(diǎn) 中國(guó)IC設(shè)計(jì)發(fā)展可期

隨著芯片微縮,開發(fā)先進(jìn)工藝技術(shù)的成本也越來越高。TSMC對(duì)外發(fā)言人孫又文表示,臺(tái)積電會(huì)繼續(xù)先進(jìn)工藝技術(shù)節(jié)點(diǎn)的投入和開發(fā),今年年底臺(tái)積電將推出20nm工藝
2012-08-30 14:34:301782

TSMC 20納米的設(shè)計(jì)架構(gòu)選擇Cadence解決方案

全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司日前宣布TSMC已選擇Cadence解決方案作為其20納米的設(shè)計(jì)架構(gòu)。Cadence解決方案包括Virtuoso定制/模擬以及Encounter RTL-to-Signoff平臺(tái)。
2012-10-22 16:48:03909

Cadence采用FinFET技術(shù)流片14納米芯片

該14納米產(chǎn)品體系與芯片是ARM、Cadence與IBM之間在14納米及以上高級(jí)工藝節(jié)點(diǎn)上開發(fā)系統(tǒng)級(jí)芯片(SoC)多年努力的重要里程碑。使用FinFET技術(shù)以14納米標(biāo)準(zhǔn)設(shè)計(jì)的SoC能夠大幅降低功耗。 這
2012-11-16 14:35:551270

三星與Synopsys合作實(shí)現(xiàn)首次14納米FinFET成功流片

新思科技公司日前宣布:該公司與三星在FinFET技術(shù)上的多年合作已經(jīng)實(shí)現(xiàn)了一個(gè)關(guān)鍵性的里程碑,即采用三星的14LPE工藝成功實(shí)現(xiàn)了首款測(cè)試芯片的流片
2013-01-09 12:11:311062

Xilinx與臺(tái)積電合作采用16FinFET工藝,打造高性能FPGA器件

,采用臺(tái)積公司先進(jìn)的16納米FinFET16FinFET工藝打造擁有最快上市、最高性能優(yōu)勢(shì)的FPGA器件。
2013-05-29 18:21:14869

聯(lián)華電子與SuVolta宣布聯(lián)合開發(fā)28納米低功耗工藝技術(shù)

日前,聯(lián)華電子與SuVolta公司宣布聯(lián)合開發(fā)28納米工藝技術(shù),該工藝將SuVolta的SuVolta的Deeply Depleted Channel晶體管技術(shù)集成到聯(lián)華電子的28納米High-K/Metal Gate高效能移動(dòng)工藝。
2013-07-25 10:10:521049

華力微電子與Cadence共同宣布交付55納米平臺(tái)的參考設(shè)計(jì)流程

全球電子創(chuàng)新設(shè)計(jì)Cadence公司與上海華力微電子,15日共同宣布了華力微電子基于Cadence Encounter數(shù)字技術(shù)交付55納米平臺(tái)的參考設(shè)計(jì)流程。華力微電子首次在其已建立55納米工藝上實(shí)現(xiàn)了從RTL到GDSII的完整流程。
2013-08-16 11:08:111383

華力微電子基于Cadence Encounter開發(fā)55納米平臺(tái)的參考設(shè)計(jì)流程

全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司(NASDAQ:CDNS)與上海華力微電子有限公司,今天共同宣布華力微電子基于Cadence ? Encounter? 數(shù)字技術(shù)交付出55納米平臺(tái)的參考設(shè)計(jì)流程。
2013-08-16 12:02:401445

Cadence與GLOBALFOUNDRIES宣布最新合作成果

益華電腦宣布,晶圓代工業(yè)者GLOBALFOUNDRIES已經(jīng)認(rèn)證Cadence實(shí)體驗(yàn)證系統(tǒng)適用于65nm至14nm FinFET制程技術(shù)的客制/類比、數(shù)位與混合訊號(hào)設(shè)計(jì)實(shí)體signoff。同時(shí)
2014-03-25 09:33:50862

Cadence宣布推出基于臺(tái)積電16納米FinFET制程DDR4 PHY IP

全球電子設(shè)計(jì)創(chuàng)新領(lǐng)先企業(yè)Cadence設(shè)計(jì)系統(tǒng)公司(NASDAQ: CDNS)今天宣布,立即推出基于臺(tái)積電16納米FinFET制程的DDR4 PHY IP(知識(shí)產(chǎn)權(quán))。
2014-05-21 09:44:541769

臺(tái)積電采用CadenceFinFET單元庫(kù)特性分析解決方案

全球知名電子設(shè)計(jì)創(chuàng)新領(lǐng)先公司Cadence設(shè)計(jì)系統(tǒng)公司 (NASDAQ: CDNS),今日宣布臺(tái)積電采用了Cadence?16納米FinFET單元庫(kù)特性分析解決方案。
2014-10-08 19:03:221594

Cadence數(shù)字與定制/模擬工具獲臺(tái)積電認(rèn)證 合作開發(fā)FinFET工藝

設(shè)計(jì)參考手冊(cè)(Design Rule Manual,DRM) 與SPICE認(rèn)證,相比于原16納米FinFET制程,可以使系統(tǒng)和芯片公司通過此新工藝在同等功耗下獲得15%的速度提升、或者在同等速度下省電30%。
2014-10-08 19:10:45663

Cadence為臺(tái)積電16納米FinFET+制程推出IP組合

美國(guó)加州圣何塞(2014年9月26日)-全球知名的電子設(shè)計(jì)創(chuàng)新領(lǐng)導(dǎo)者Cadence設(shè)計(jì)系統(tǒng)公司(NASDAQ: CDNS)今日宣布為臺(tái)積電16納米FinFET+ 制程推出一系列IP組合。
2014-10-08 19:19:22919

三星宣布第2代14納米FinFET工藝技術(shù)投入量產(chǎn)

三星于2015年第一季度發(fā)布了半導(dǎo)體芯片行業(yè)首款采用14nmLPE (Low-Power Early) 工藝量產(chǎn)的Exynos 7 Octa處理器,成為FinFET邏輯制程上的行業(yè)引領(lǐng)者。
2016-01-15 17:12:47927

Cadence工具獲臺(tái)積電7納米早期設(shè)計(jì)及10納米芯片生產(chǎn)認(rèn)證

2016年3月22日,中國(guó)上海——楷登電子(美國(guó) Cadence 公司,NASDAQ: CDNS)今日宣布,用于10納米 FinFET工藝的數(shù)字、定制/模擬和簽核工具通過臺(tái)積電(TSMC)V1.0設(shè)計(jì)參考手冊(cè)(DRM)及SPICE認(rèn)證。
2016-03-22 13:54:541026

ARM攜手臺(tái)積電打造多核10納米FinFET測(cè)試芯片 推動(dòng)前沿移動(dòng)計(jì)算未來

  2016年5月19日,北京訊——ARM今日發(fā)布了首款采用臺(tái)積電公司(TSMC)10納米FinFET工藝技術(shù)的多核 64位 ARM?v8-A 處理器測(cè)試芯片。仿真基準(zhǔn)檢驗(yàn)結(jié)果顯示,相較于目前常用于多款頂尖智能手機(jī)計(jì)算芯片的16納米FinFET+工藝技術(shù),此測(cè)試芯片展現(xiàn)更佳運(yùn)算能力與功耗表現(xiàn)。
2016-05-19 16:41:50662

震撼!Xilinx宣布與TSMC開展7nm工藝合作

確保連續(xù)四代全可編程技術(shù)及多節(jié)點(diǎn)擴(kuò)展的領(lǐng)先優(yōu)勢(shì)四代先進(jìn)工藝技術(shù)和3D IC以及第四代FinFET技術(shù)合作 2015年5月28日, 中國(guó)北京 - All Programmable 技術(shù)和器件的全球領(lǐng)先
2017-02-09 03:48:04198

Cadence發(fā)布7納米工藝Virtuoso先進(jìn)工藝節(jié)點(diǎn)擴(kuò)展平臺(tái)

2017年4月18日,中國(guó)上海 – 楷登電子(美國(guó)Cadence公司,NASDAQ: CDNS)今日正式發(fā)布針對(duì)7nm工藝的全新Virtuoso? 先進(jìn)工藝節(jié)點(diǎn)平臺(tái)。通過與采用7nm FinFET
2017-04-18 11:09:491165

三星10nm工藝技術(shù)已經(jīng)在Galaxy S8上提供支持

三星10納米工藝技術(shù)公告:全球領(lǐng)先的三星電子先進(jìn)的半導(dǎo)體元器件技術(shù)正式宣布,其第二代10納米(nm)FinFET工藝技術(shù),10LPP(Low Power Plus)已經(jīng)合格并準(zhǔn)備就緒用于批量生產(chǎn)。
2017-05-03 01:00:11580

Cadence數(shù)字、簽核與定制/模擬工具助力實(shí)現(xiàn)三星7LPP和8LPP工藝技術(shù)

2017年6月2日,上?!请娮樱绹?guó) Cadence 公司,NASDAQ: CDNS) 今日宣布其數(shù)字、簽核與定制/模擬工具成功在三星電子公司7LPP和8LPP工藝技術(shù)上實(shí)現(xiàn)。較前代高階工藝
2017-06-02 16:04:341237

4巨頭強(qiáng)強(qiáng)聯(lián)手合作開發(fā)7納米工藝CCIX測(cè)試芯片

賽靈思、Arm、Cadence和臺(tái)積公司今日宣布一項(xiàng)合作,將共同構(gòu)建首款基于臺(tái)積7納米FinFET工藝的支持芯片間緩存一致性(CCIX)的加速器測(cè)試芯片,并計(jì)劃在2018年交付
2017-09-23 10:32:124003

淺析TSMC和FinFET工藝技術(shù)的Mentor解決方案

Technology (12FFC) 和最新版本 7nm FinFET Plus 工藝的認(rèn)證。Nitro-SoCTM 布局和布線系統(tǒng)也通過了認(rèn)證,可以支持 TSMC 的 12FFC 工藝技術(shù)。
2017-10-11 11:13:422372

什么是FinFET?FinFET的工作原理是什么?

在2011年初,英特爾公司推出了商業(yè)化的FinFET,使用在其22納米節(jié)點(diǎn)的工藝上[3]。從IntelCorei7-3770之后的22納米的處理器均使用了FinFET技術(shù)。由于FinFET具有
2018-07-18 13:49:00119524

楷登電子公布與臺(tái)灣積體電路公司全新 12FFC 緊湊型工藝技術(shù)開發(fā)合作內(nèi)容

楷登電子(美國(guó) Cadence 公司)今日正式公布其與臺(tái)灣積體電路制造股份有限公司(TSMC)全新12nm FinFET緊湊型(12FFC)工藝技術(shù)開發(fā)合作內(nèi)容。憑借Cadence 數(shù)字
2018-05-08 11:07:001422

Synopsys設(shè)計(jì)平臺(tái)獲得TSMC工藝認(rèn)證_7-nm FinFET Plus工藝技術(shù)

Synopsys設(shè)計(jì)平臺(tái)用于高性能、高密度芯片設(shè)計(jì) 重點(diǎn): Synopsys設(shè)計(jì)平臺(tái)獲得TSMC工藝認(rèn)證,支持高性能7-nm FinFET Plus工藝技術(shù),已成功用于客戶的多個(gè)設(shè)計(jì)項(xiàng)目。 針對(duì)
2018-05-17 06:59:004461

中芯國(guó)際再獲技術(shù)重大突破

中芯國(guó)際14納米FinFET技術(shù)獲得重大進(jìn)展 8月9日,中芯國(guó)際公布了在14納米FinFET技術(shù)開發(fā)上獲得的重大進(jìn)展。第一代FinFET技術(shù)研發(fā)已進(jìn)入客戶導(dǎo)入階段。除了28納米PolySiON和HKC,28納米HKC+技術(shù)開發(fā)也已完成。28納米HKC持續(xù)上量,良率達(dá)到業(yè)界水平。
2018-08-18 10:31:003773

中芯國(guó)際發(fā)布2018年第4季財(cái)報(bào)并表示12納米技術(shù)開發(fā)也開始有所突破

外,在技術(shù)研發(fā)方面,中芯國(guó)際表示,第一代 FinFET 14 納米技術(shù)進(jìn)入客戶驗(yàn)證階段,產(chǎn)品可靠度與良率已進(jìn)一步提升。同時(shí),12 納米技術(shù)開發(fā)也開始有所突破。
2019-02-18 17:03:103076

三星宣布已完成5納米FinFET工藝技術(shù)開發(fā)

4月16日,三星官網(wǎng)發(fā)布新聞稿,宣布已經(jīng)完成5納米FinFET工藝技術(shù)開發(fā),現(xiàn)已準(zhǔn)備好向客戶提供樣品。
2019-04-16 17:27:233008

通過芯片工藝架構(gòu)為所有產(chǎn)品組合實(shí)現(xiàn)高功率效率

UltraScale+ 器件系列以低功耗半導(dǎo)體工藝(TSMC 16 納米FinFET+)為基礎(chǔ),與 7 系列 FPGA 及 SoC 相比,能將整體器件級(jí)電源節(jié)省達(dá) 60%。架構(gòu)改進(jìn)。
2019-08-01 15:46:331252

三星宣布其基于柵極環(huán)繞型晶體管架構(gòu)的3nm工藝技術(shù)已經(jīng)正式流片

目前從全球范圍來說,也就只有臺(tái)積電和三星這兩家能做到5納米工藝以下了。6月29日晚間,據(jù)外媒報(bào)道,三星宣布其基于柵極環(huán)繞型 (Gate-all-around,GAA) 晶體管架構(gòu)的3nm工藝技術(shù)已經(jīng)
2021-07-02 11:21:542254

楷登電子數(shù)字和模擬流程獲TSMC N3和N4工藝技術(shù)認(rèn)證

Cadence 和 TSMC 聯(lián)手進(jìn)行 N3 和 N4 工藝技術(shù)合作, 加速賦能移動(dòng)、人工智能和超大規(guī)模計(jì)算創(chuàng)新 雙方共同客戶現(xiàn)可廣泛使用已經(jīng)認(rèn)證的 N3 和 N4 流程 PDK 進(jìn)行設(shè)計(jì) 完整
2021-10-26 15:10:581928

Ansys多物理場(chǎng)解決方案榮獲臺(tái)積電N4工藝技術(shù)和FINFLEX?架構(gòu)認(rèn)證

工藝技術(shù)的FINFLEX架構(gòu)認(rèn)證 此外,該認(rèn)證也可擴(kuò)展到臺(tái)積電N4工藝技術(shù) Ansys宣布Ansys電源完整性解決方案榮獲臺(tái)積電FINFLEX創(chuàng)新架構(gòu)以及N4工藝技術(shù)認(rèn)證,持續(xù)深化與臺(tái)積電的長(zhǎng)期技術(shù)合作
2022-11-17 15:31:57696

Cadence成功流片基于臺(tái)積電N3E工藝16G UCIe先進(jìn)封裝 IP

來源:Cadence楷登 2023年4月26日,楷登電子近日宣布基于臺(tái)積電 3nm(N3E)工藝技術(shù)Cadence? 16G UCIe? 2.5D 先進(jìn)封裝 IP 成功流片。該 IP 采用
2023-04-27 16:35:40453

Cadence定制設(shè)計(jì)遷移流程加快臺(tái)積電N3E和N2工藝技術(shù)的采用速度

,包括最新的 N3E 和 N2 工藝技術(shù)。這一新的生成式設(shè)計(jì)遷移流程由 Cadence 和臺(tái)積電共同開發(fā),旨在實(shí)現(xiàn)定制和模擬 IC 設(shè)計(jì)在臺(tái)積電工藝技術(shù)之間的自動(dòng)遷移。與人工遷移相比,已使用該流程的客戶成功地將遷移時(shí)間縮短了 2.5 倍。
2023-05-06 15:02:15801

行業(yè)首創(chuàng)!恩智浦?jǐn)y手臺(tái)積電,推出汽車級(jí)16納米FinFET嵌入式MRAM

恩智浦和臺(tái)積電聯(lián)合開發(fā)采用臺(tái)積電16納米FinFET技術(shù)的嵌入式MRAM IP? 借助MRAM,汽車廠商可以更高效地推出新功能,加速OTA升級(jí),消除量產(chǎn)瓶頸 恩智浦計(jì)劃于2025年初推出采用該技術(shù)
2023-05-26 20:15:02396

Cadence 數(shù)字、定制/模擬設(shè)計(jì)流程通過認(rèn)證,Design IP 現(xiàn)已支持 Intel 16 FinFET 制程

流程現(xiàn)已通過 Intel 16 FinFET 工藝技術(shù)認(rèn)證,其 Design IP 現(xiàn)可支持 Intel Foundry Services(IFS)的此工藝節(jié)點(diǎn)。 與此同時(shí),Cadence 和 Intel 共同發(fā)布
2023-07-14 12:50:02381

Cadence數(shù)字和定制/模擬流程通過Intel 18A工藝技術(shù)認(rèn)證

Cadence近日宣布,其數(shù)字和定制/模擬流程在Intel的18A工藝技術(shù)上成功通過認(rèn)證。這一里程碑式的成就意味著Cadence的設(shè)計(jì)IP將全面支持Intel的代工廠在這一關(guān)鍵節(jié)點(diǎn)上的工作,并提
2024-02-27 14:02:18160

已全部加載完成